• R/O
  • HTTP
  • SSH
  • HTTPS

motonesfpga: 提交

Moto NES FPGA main repository


Commit MetaInfo

修订版a1d004667339898a26a490080701604470392dc6 (tree)
时间2014-07-09 13:51:59
作者astoria-d <astoria-d@mail...>
Commiterastoria-d

Log Message

clean up

更改概述

差异

--- a/tools/qt_proj_test5/qt_proj_test5.qsf
+++ b/tools/qt_proj_test5/qt_proj_test5.qsf
@@ -138,23 +138,8 @@ set_location_assignment PIN_R20 -to red_led
138138 set_location_assignment PIN_U22 -to green_led
139139
140140
141-set_global_assignment -name ENABLE_SIGNALTAP ON
141+set_global_assignment -name ENABLE_SIGNALTAP OFF
142142 set_global_assignment -name USE_SIGNALTAP_FILE "sdram-test.stp"
143-set_global_assignment -name SLD_NODE_CREATOR_ID 110 -section_id auto_signaltap_0
144-set_global_assignment -name SLD_NODE_ENTITY_NAME sld_signaltap -section_id auto_signaltap_0
145-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_INFO=805334528" -section_id auto_signaltap_0
146-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_POWER_UP_TRIGGER=0" -section_id auto_signaltap_0
147-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STORAGE_QUALIFIER_INVERSION_MASK_LENGTH=0" -section_id auto_signaltap_0
148-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ATTRIBUTE_MEM_MODE=OFF" -section_id auto_signaltap_0
149-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STATE_FLOW_USE_GENERATED=0" -section_id auto_signaltap_0
150-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STATE_BITS=11" -section_id auto_signaltap_0
151-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_BUFFER_FULL_STOP=1" -section_id auto_signaltap_0
152-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_CURRENT_RESOURCE_WIDTH=1" -section_id auto_signaltap_0
153-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_LEVEL=1" -section_id auto_signaltap_0
154-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_IN_ENABLED=0" -section_id auto_signaltap_0
155-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ADVANCED_TRIGGER_ENTITY=basic,1," -section_id auto_signaltap_0
156-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_LEVEL_PIPELINE=1" -section_id auto_signaltap_0
157-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ENABLE_ADVANCED_TRIGGER=0" -section_id auto_signaltap_0
158143 set_global_assignment -name VHDL_FILE vga_clk_gen.vhd
159144 set_global_assignment -name VHDL_FILE sdram_rw.vhd
160145 set_global_assignment -name VHDL_FILE sdram_controller.vhd
@@ -165,255 +150,5 @@ set_global_assignment -name VHDL_FILE clock_divider.vhd
165150 set_global_assignment -name VHDL_FILE vga.vhd
166151 set_global_assignment -name VHDL_FILE qt_proj_test5.vhd
167152 set_global_assignment -name SIGNALTAP_FILE "sdram-test.stp"
168-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_clk -to dbg_mem_clk -section_id auto_signaltap_0
169-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[0] -to dram_addr[0] -section_id auto_signaltap_0
170-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[1] -to dram_addr[10] -section_id auto_signaltap_0
171-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[2] -to dram_addr[11] -section_id auto_signaltap_0
172-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[3] -to dram_addr[1] -section_id auto_signaltap_0
173-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[4] -to dram_addr[2] -section_id auto_signaltap_0
174-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[5] -to dram_addr[3] -section_id auto_signaltap_0
175-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[6] -to dram_addr[4] -section_id auto_signaltap_0
176-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[7] -to dram_addr[5] -section_id auto_signaltap_0
177-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[8] -to dram_addr[6] -section_id auto_signaltap_0
178-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[9] -to dram_addr[7] -section_id auto_signaltap_0
179-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[10] -to dram_addr[8] -section_id auto_signaltap_0
180-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[11] -to dram_addr[9] -section_id auto_signaltap_0
181-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[12] -to dram_bank[0] -section_id auto_signaltap_0
182-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[13] -to dram_bank[1] -section_id auto_signaltap_0
183-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[0] -to dram_addr[0] -section_id auto_signaltap_0
184-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[1] -to dram_addr[10] -section_id auto_signaltap_0
185-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[2] -to dram_addr[11] -section_id auto_signaltap_0
186-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[3] -to dram_addr[1] -section_id auto_signaltap_0
187-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[4] -to dram_addr[2] -section_id auto_signaltap_0
188-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[5] -to dram_addr[3] -section_id auto_signaltap_0
189-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[6] -to dram_addr[4] -section_id auto_signaltap_0
190-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[7] -to dram_addr[5] -section_id auto_signaltap_0
191-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[8] -to dram_addr[6] -section_id auto_signaltap_0
192-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[9] -to dram_addr[7] -section_id auto_signaltap_0
193-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[10] -to dram_addr[8] -section_id auto_signaltap_0
194-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[11] -to dram_addr[9] -section_id auto_signaltap_0
195-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[12] -to dram_bank[0] -section_id auto_signaltap_0
196-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[13] -to dram_bank[1] -section_id auto_signaltap_0
197-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[14] -to dram_cas_n -section_id auto_signaltap_0
198-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[15] -to dram_cke -section_id auto_signaltap_0
199-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[16] -to dram_clk -section_id auto_signaltap_0
200-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[17] -to dram_cs_n -section_id auto_signaltap_0
201-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[18] -to dram_dq[0] -section_id auto_signaltap_0
202-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[19] -to dram_dq[10] -section_id auto_signaltap_0
203-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[20] -to dram_dq[11] -section_id auto_signaltap_0
204-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[21] -to dram_dq[12] -section_id auto_signaltap_0
205-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[22] -to dram_dq[13] -section_id auto_signaltap_0
206-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[23] -to dram_dq[14] -section_id auto_signaltap_0
207-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[24] -to dram_dq[15] -section_id auto_signaltap_0
208-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[25] -to dram_dq[1] -section_id auto_signaltap_0
209-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[26] -to dram_dq[2] -section_id auto_signaltap_0
210-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[27] -to dram_dq[3] -section_id auto_signaltap_0
211-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[28] -to dram_dq[4] -section_id auto_signaltap_0
212-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[29] -to dram_dq[5] -section_id auto_signaltap_0
213-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[30] -to dram_dq[6] -section_id auto_signaltap_0
214-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[31] -to dram_dq[7] -section_id auto_signaltap_0
215-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[32] -to dram_dq[8] -section_id auto_signaltap_0
216-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[33] -to dram_dq[9] -section_id auto_signaltap_0
217-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[34] -to dram_ldqm -section_id auto_signaltap_0
218-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[35] -to dram_ras_n -section_id auto_signaltap_0
219-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[36] -to dram_udqm -section_id auto_signaltap_0
220-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[37] -to dram_we_n -section_id auto_signaltap_0
221-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[38] -to green_led -section_id auto_signaltap_0
222-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[39] -to red_led -section_id auto_signaltap_0
223-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[40] -to rst_n -section_id auto_signaltap_0
224-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SEGMENT_SIZE=1024" -section_id auto_signaltap_0
225-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SAMPLE_DEPTH=1024" -section_id auto_signaltap_0
226-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[14] -to green_led -section_id auto_signaltap_0
227-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[15] -to red_led -section_id auto_signaltap_0
228-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[16] -to rst_n -section_id auto_signaltap_0
229-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[17] -to "sdram_controller:sdr_ctrl|address_r[0]" -section_id auto_signaltap_0
230-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[18] -to "sdram_controller:sdr_ctrl|address_r[10]" -section_id auto_signaltap_0
231-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[19] -to "sdram_controller:sdr_ctrl|address_r[11]" -section_id auto_signaltap_0
232-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[20] -to "sdram_controller:sdr_ctrl|address_r[12]" -section_id auto_signaltap_0
233-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[21] -to "sdram_controller:sdr_ctrl|address_r[13]" -section_id auto_signaltap_0
234-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[22] -to "sdram_controller:sdr_ctrl|address_r[14]" -section_id auto_signaltap_0
235-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[23] -to "sdram_controller:sdr_ctrl|address_r[15]" -section_id auto_signaltap_0
236-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[24] -to "sdram_controller:sdr_ctrl|address_r[16]" -section_id auto_signaltap_0
237-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[25] -to "sdram_controller:sdr_ctrl|address_r[17]" -section_id auto_signaltap_0
238-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[26] -to "sdram_controller:sdr_ctrl|address_r[18]" -section_id auto_signaltap_0
239-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[27] -to "sdram_controller:sdr_ctrl|address_r[19]" -section_id auto_signaltap_0
240-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[28] -to "sdram_controller:sdr_ctrl|address_r[1]" -section_id auto_signaltap_0
241-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[29] -to "sdram_controller:sdr_ctrl|address_r[20]" -section_id auto_signaltap_0
242-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[30] -to "sdram_controller:sdr_ctrl|address_r[21]" -section_id auto_signaltap_0
243-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[31] -to "sdram_controller:sdr_ctrl|address_r[2]" -section_id auto_signaltap_0
244-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[32] -to "sdram_controller:sdr_ctrl|address_r[3]" -section_id auto_signaltap_0
245-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[33] -to "sdram_controller:sdr_ctrl|address_r[4]" -section_id auto_signaltap_0
246-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[34] -to "sdram_controller:sdr_ctrl|address_r[5]" -section_id auto_signaltap_0
247-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[35] -to "sdram_controller:sdr_ctrl|address_r[6]" -section_id auto_signaltap_0
248-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[36] -to "sdram_controller:sdr_ctrl|address_r[7]" -section_id auto_signaltap_0
249-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[37] -to "sdram_controller:sdr_ctrl|address_r[8]" -section_id auto_signaltap_0
250-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[38] -to "sdram_controller:sdr_ctrl|address_r[9]" -section_id auto_signaltap_0
251-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[41] -to "sdram_controller:sdr_ctrl|address_r[0]" -section_id auto_signaltap_0
252-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[42] -to "sdram_controller:sdr_ctrl|address_r[10]" -section_id auto_signaltap_0
253-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[43] -to "sdram_controller:sdr_ctrl|address_r[11]" -section_id auto_signaltap_0
254-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[44] -to "sdram_controller:sdr_ctrl|address_r[12]" -section_id auto_signaltap_0
255-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[45] -to "sdram_controller:sdr_ctrl|address_r[13]" -section_id auto_signaltap_0
256-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[46] -to "sdram_controller:sdr_ctrl|address_r[14]" -section_id auto_signaltap_0
257-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[47] -to "sdram_controller:sdr_ctrl|address_r[15]" -section_id auto_signaltap_0
258-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[48] -to "sdram_controller:sdr_ctrl|address_r[16]" -section_id auto_signaltap_0
259-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[49] -to "sdram_controller:sdr_ctrl|address_r[17]" -section_id auto_signaltap_0
260-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[50] -to "sdram_controller:sdr_ctrl|address_r[18]" -section_id auto_signaltap_0
261-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[51] -to "sdram_controller:sdr_ctrl|address_r[19]" -section_id auto_signaltap_0
262-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[52] -to "sdram_controller:sdr_ctrl|address_r[1]" -section_id auto_signaltap_0
263-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[53] -to "sdram_controller:sdr_ctrl|address_r[20]" -section_id auto_signaltap_0
264-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[54] -to "sdram_controller:sdr_ctrl|address_r[21]" -section_id auto_signaltap_0
265-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[55] -to "sdram_controller:sdr_ctrl|address_r[2]" -section_id auto_signaltap_0
266-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[56] -to "sdram_controller:sdr_ctrl|address_r[3]" -section_id auto_signaltap_0
267-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[57] -to "sdram_controller:sdr_ctrl|address_r[4]" -section_id auto_signaltap_0
268-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[58] -to "sdram_controller:sdr_ctrl|address_r[5]" -section_id auto_signaltap_0
269-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[59] -to "sdram_controller:sdr_ctrl|address_r[6]" -section_id auto_signaltap_0
270-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[60] -to "sdram_controller:sdr_ctrl|address_r[7]" -section_id auto_signaltap_0
271-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[61] -to "sdram_controller:sdr_ctrl|address_r[8]" -section_id auto_signaltap_0
272-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[62] -to "sdram_controller:sdr_ctrl|address_r[9]" -section_id auto_signaltap_0
273-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[39] -to "sdram_rw:sdr_rw|blen[0]" -section_id auto_signaltap_0
274-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[40] -to "sdram_rw:sdr_rw|blen[1]" -section_id auto_signaltap_0
275-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[41] -to "sdram_rw:sdr_rw|blen[2]" -section_id auto_signaltap_0
276-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[42] -to "sdram_rw:sdr_rw|blen[3]" -section_id auto_signaltap_0
277-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[43] -to "sdram_rw:sdr_rw|blen[4]" -section_id auto_signaltap_0
278-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[44] -to "sdram_rw:sdr_rw|blen[5]" -section_id auto_signaltap_0
279-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[45] -to "sdram_rw:sdr_rw|blen[6]" -section_id auto_signaltap_0
280-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[46] -to "sdram_rw:sdr_rw|blen[7]" -section_id auto_signaltap_0
281-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[47] -to "sdram_rw:sdr_rw|blen[8]" -section_id auto_signaltap_0
282-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[48] -to "sdram_rw:sdr_rw|cmd_ack" -section_id auto_signaltap_0
283-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[49] -to "sdram_rw:sdr_rw|cmd_done" -section_id auto_signaltap_0
284-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[50] -to "sdram_rw:sdr_rw|cmd_r" -section_id auto_signaltap_0
285-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[51] -to "sdram_rw:sdr_rw|cur_st_o[0]" -section_id auto_signaltap_0
286-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[52] -to "sdram_rw:sdr_rw|cur_st_o[1]" -section_id auto_signaltap_0
287-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[53] -to "sdram_rw:sdr_rw|cur_st_o[2]" -section_id auto_signaltap_0
288-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[54] -to "sdram_rw:sdr_rw|cur_st_o[3]" -section_id auto_signaltap_0
289-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[55] -to "sdram_rw:sdr_rw|wbm_ack_i" -section_id auto_signaltap_0
290-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[56] -to "sdram_rw:sdr_rw|wbm_cyc_o" -section_id auto_signaltap_0
291-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[57] -to "sdram_rw:sdr_rw|wbm_stall_i" -section_id auto_signaltap_0
292-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[58] -to "sdram_rw:sdr_rw|wbm_stb_o" -section_id auto_signaltap_0
293-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[63] -to "sdram_rw:sdr_rw|blen[0]" -section_id auto_signaltap_0
294-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[64] -to "sdram_rw:sdr_rw|blen[1]" -section_id auto_signaltap_0
295-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[65] -to "sdram_rw:sdr_rw|blen[2]" -section_id auto_signaltap_0
296-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[66] -to "sdram_rw:sdr_rw|blen[3]" -section_id auto_signaltap_0
297-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[67] -to "sdram_rw:sdr_rw|blen[4]" -section_id auto_signaltap_0
298-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[68] -to "sdram_rw:sdr_rw|blen[5]" -section_id auto_signaltap_0
299-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[69] -to "sdram_rw:sdr_rw|blen[6]" -section_id auto_signaltap_0
300-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[70] -to "sdram_rw:sdr_rw|blen[7]" -section_id auto_signaltap_0
301-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[71] -to "sdram_rw:sdr_rw|blen[8]" -section_id auto_signaltap_0
302-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[72] -to "sdram_rw:sdr_rw|clk_i" -section_id auto_signaltap_0
303-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[73] -to "sdram_rw:sdr_rw|cmd_ack" -section_id auto_signaltap_0
304-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[74] -to "sdram_rw:sdr_rw|cmd_done" -section_id auto_signaltap_0
305-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[75] -to "sdram_rw:sdr_rw|cmd_r" -section_id auto_signaltap_0
306-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[76] -to "sdram_rw:sdr_rw|cur_st_o[0]" -section_id auto_signaltap_0
307-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[77] -to "sdram_rw:sdr_rw|cur_st_o[1]" -section_id auto_signaltap_0
308-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[78] -to "sdram_rw:sdr_rw|cur_st_o[2]" -section_id auto_signaltap_0
309-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[79] -to "sdram_rw:sdr_rw|cur_st_o[3]" -section_id auto_signaltap_0
310-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[80] -to "sdram_rw:sdr_rw|mem_val_o[0]" -section_id auto_signaltap_0
311-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[81] -to "sdram_rw:sdr_rw|mem_val_o[10]" -section_id auto_signaltap_0
312-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[82] -to "sdram_rw:sdr_rw|mem_val_o[11]" -section_id auto_signaltap_0
313-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[83] -to "sdram_rw:sdr_rw|mem_val_o[12]" -section_id auto_signaltap_0
314-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[84] -to "sdram_rw:sdr_rw|mem_val_o[13]" -section_id auto_signaltap_0
315-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[85] -to "sdram_rw:sdr_rw|mem_val_o[14]" -section_id auto_signaltap_0
316-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[86] -to "sdram_rw:sdr_rw|mem_val_o[15]" -section_id auto_signaltap_0
317-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[87] -to "sdram_rw:sdr_rw|mem_val_o[1]" -section_id auto_signaltap_0
318-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[88] -to "sdram_rw:sdr_rw|mem_val_o[2]" -section_id auto_signaltap_0
319-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[89] -to "sdram_rw:sdr_rw|mem_val_o[3]" -section_id auto_signaltap_0
320-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[90] -to "sdram_rw:sdr_rw|mem_val_o[4]" -section_id auto_signaltap_0
321-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[91] -to "sdram_rw:sdr_rw|mem_val_o[5]" -section_id auto_signaltap_0
322-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[92] -to "sdram_rw:sdr_rw|mem_val_o[6]" -section_id auto_signaltap_0
323-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[93] -to "sdram_rw:sdr_rw|mem_val_o[7]" -section_id auto_signaltap_0
324-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[94] -to "sdram_rw:sdr_rw|mem_val_o[8]" -section_id auto_signaltap_0
325-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[95] -to "sdram_rw:sdr_rw|mem_val_o[9]" -section_id auto_signaltap_0
326-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[96] -to "sdram_rw:sdr_rw|sdram_val_o[0]" -section_id auto_signaltap_0
327-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[97] -to "sdram_rw:sdr_rw|sdram_val_o[10]" -section_id auto_signaltap_0
328-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[98] -to "sdram_rw:sdr_rw|sdram_val_o[11]" -section_id auto_signaltap_0
329-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[99] -to "sdram_rw:sdr_rw|sdram_val_o[12]" -section_id auto_signaltap_0
330-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[100] -to "sdram_rw:sdr_rw|sdram_val_o[13]" -section_id auto_signaltap_0
331-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[101] -to "sdram_rw:sdr_rw|sdram_val_o[14]" -section_id auto_signaltap_0
332-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[102] -to "sdram_rw:sdr_rw|sdram_val_o[15]" -section_id auto_signaltap_0
333-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[103] -to "sdram_rw:sdr_rw|sdram_val_o[1]" -section_id auto_signaltap_0
334-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[104] -to "sdram_rw:sdr_rw|sdram_val_o[2]" -section_id auto_signaltap_0
335-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[105] -to "sdram_rw:sdr_rw|sdram_val_o[3]" -section_id auto_signaltap_0
336-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[106] -to "sdram_rw:sdr_rw|sdram_val_o[4]" -section_id auto_signaltap_0
337-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[107] -to "sdram_rw:sdr_rw|sdram_val_o[5]" -section_id auto_signaltap_0
338-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[108] -to "sdram_rw:sdr_rw|sdram_val_o[6]" -section_id auto_signaltap_0
339-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[109] -to "sdram_rw:sdr_rw|sdram_val_o[7]" -section_id auto_signaltap_0
340-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[110] -to "sdram_rw:sdr_rw|sdram_val_o[8]" -section_id auto_signaltap_0
341-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[111] -to "sdram_rw:sdr_rw|sdram_val_o[9]" -section_id auto_signaltap_0
342-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[112] -to "sdram_rw:sdr_rw|wbm_ack_i" -section_id auto_signaltap_0
343-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[113] -to "sdram_rw:sdr_rw|wbm_adr_o[0]" -section_id auto_signaltap_0
344-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[114] -to "sdram_rw:sdr_rw|wbm_adr_o[10]" -section_id auto_signaltap_0
345-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[115] -to "sdram_rw:sdr_rw|wbm_adr_o[11]" -section_id auto_signaltap_0
346-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[116] -to "sdram_rw:sdr_rw|wbm_adr_o[12]" -section_id auto_signaltap_0
347-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[117] -to "sdram_rw:sdr_rw|wbm_adr_o[13]" -section_id auto_signaltap_0
348-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[118] -to "sdram_rw:sdr_rw|wbm_adr_o[14]" -section_id auto_signaltap_0
349-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[119] -to "sdram_rw:sdr_rw|wbm_adr_o[15]" -section_id auto_signaltap_0
350-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[120] -to "sdram_rw:sdr_rw|wbm_adr_o[16]" -section_id auto_signaltap_0
351-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[121] -to "sdram_rw:sdr_rw|wbm_adr_o[17]" -section_id auto_signaltap_0
352-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[122] -to "sdram_rw:sdr_rw|wbm_adr_o[18]" -section_id auto_signaltap_0
353-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[123] -to "sdram_rw:sdr_rw|wbm_adr_o[19]" -section_id auto_signaltap_0
354-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[124] -to "sdram_rw:sdr_rw|wbm_adr_o[1]" -section_id auto_signaltap_0
355-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[125] -to "sdram_rw:sdr_rw|wbm_adr_o[20]" -section_id auto_signaltap_0
356-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[126] -to "sdram_rw:sdr_rw|wbm_adr_o[21]" -section_id auto_signaltap_0
357-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[127] -to "sdram_rw:sdr_rw|wbm_adr_o[2]" -section_id auto_signaltap_0
358-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[128] -to "sdram_rw:sdr_rw|wbm_adr_o[3]" -section_id auto_signaltap_0
359-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[129] -to "sdram_rw:sdr_rw|wbm_adr_o[4]" -section_id auto_signaltap_0
360-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[130] -to "sdram_rw:sdr_rw|wbm_adr_o[5]" -section_id auto_signaltap_0
361-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[131] -to "sdram_rw:sdr_rw|wbm_adr_o[6]" -section_id auto_signaltap_0
362-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[132] -to "sdram_rw:sdr_rw|wbm_adr_o[7]" -section_id auto_signaltap_0
363-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[133] -to "sdram_rw:sdr_rw|wbm_adr_o[8]" -section_id auto_signaltap_0
364-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[134] -to "sdram_rw:sdr_rw|wbm_adr_o[9]" -section_id auto_signaltap_0
365-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[135] -to "sdram_rw:sdr_rw|wbm_cyc_o" -section_id auto_signaltap_0
366-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[136] -to "sdram_rw:sdr_rw|wbm_dat_i[0]" -section_id auto_signaltap_0
367-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[137] -to "sdram_rw:sdr_rw|wbm_dat_i[10]" -section_id auto_signaltap_0
368-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[138] -to "sdram_rw:sdr_rw|wbm_dat_i[11]" -section_id auto_signaltap_0
369-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[139] -to "sdram_rw:sdr_rw|wbm_dat_i[12]" -section_id auto_signaltap_0
370-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[140] -to "sdram_rw:sdr_rw|wbm_dat_i[13]" -section_id auto_signaltap_0
371-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[141] -to "sdram_rw:sdr_rw|wbm_dat_i[14]" -section_id auto_signaltap_0
372-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[142] -to "sdram_rw:sdr_rw|wbm_dat_i[15]" -section_id auto_signaltap_0
373-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[143] -to "sdram_rw:sdr_rw|wbm_dat_i[1]" -section_id auto_signaltap_0
374-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[144] -to "sdram_rw:sdr_rw|wbm_dat_i[2]" -section_id auto_signaltap_0
375-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[145] -to "sdram_rw:sdr_rw|wbm_dat_i[3]" -section_id auto_signaltap_0
376-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[146] -to "sdram_rw:sdr_rw|wbm_dat_i[4]" -section_id auto_signaltap_0
377-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[147] -to "sdram_rw:sdr_rw|wbm_dat_i[5]" -section_id auto_signaltap_0
378-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[148] -to "sdram_rw:sdr_rw|wbm_dat_i[6]" -section_id auto_signaltap_0
379-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[149] -to "sdram_rw:sdr_rw|wbm_dat_i[7]" -section_id auto_signaltap_0
380-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[150] -to "sdram_rw:sdr_rw|wbm_dat_i[8]" -section_id auto_signaltap_0
381-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[151] -to "sdram_rw:sdr_rw|wbm_dat_i[9]" -section_id auto_signaltap_0
382-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[152] -to "sdram_rw:sdr_rw|wbm_dat_o[0]" -section_id auto_signaltap_0
383-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[153] -to "sdram_rw:sdr_rw|wbm_dat_o[10]" -section_id auto_signaltap_0
384-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[154] -to "sdram_rw:sdr_rw|wbm_dat_o[11]" -section_id auto_signaltap_0
385-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[155] -to "sdram_rw:sdr_rw|wbm_dat_o[12]" -section_id auto_signaltap_0
386-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[156] -to "sdram_rw:sdr_rw|wbm_dat_o[13]" -section_id auto_signaltap_0
387-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[157] -to "sdram_rw:sdr_rw|wbm_dat_o[14]" -section_id auto_signaltap_0
388-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[158] -to "sdram_rw:sdr_rw|wbm_dat_o[15]" -section_id auto_signaltap_0
389-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[159] -to "sdram_rw:sdr_rw|wbm_dat_o[1]" -section_id auto_signaltap_0
390-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[160] -to "sdram_rw:sdr_rw|wbm_dat_o[2]" -section_id auto_signaltap_0
391-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[161] -to "sdram_rw:sdr_rw|wbm_dat_o[3]" -section_id auto_signaltap_0
392-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[162] -to "sdram_rw:sdr_rw|wbm_dat_o[4]" -section_id auto_signaltap_0
393-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[163] -to "sdram_rw:sdr_rw|wbm_dat_o[5]" -section_id auto_signaltap_0
394-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[164] -to "sdram_rw:sdr_rw|wbm_dat_o[6]" -section_id auto_signaltap_0
395-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[165] -to "sdram_rw:sdr_rw|wbm_dat_o[7]" -section_id auto_signaltap_0
396-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[166] -to "sdram_rw:sdr_rw|wbm_dat_o[8]" -section_id auto_signaltap_0
397-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[167] -to "sdram_rw:sdr_rw|wbm_dat_o[9]" -section_id auto_signaltap_0
398-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[168] -to "sdram_rw:sdr_rw|wbm_stall_i" -section_id auto_signaltap_0
399-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[169] -to "sdram_rw:sdr_rw|wbm_stb_o" -section_id auto_signaltap_0
400-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[170] -to "sdram_rw:sdr_rw|wbm_tga_o[0]" -section_id auto_signaltap_0
401-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[171] -to "sdram_rw:sdr_rw|wbm_tga_o[1]" -section_id auto_signaltap_0
402-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[172] -to "sdram_rw:sdr_rw|wbm_tga_o[2]" -section_id auto_signaltap_0
403-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[173] -to "sdram_rw:sdr_rw|wbm_tga_o[3]" -section_id auto_signaltap_0
404-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[174] -to "sdram_rw:sdr_rw|wbm_tga_o[4]" -section_id auto_signaltap_0
405-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[175] -to "sdram_rw:sdr_rw|wbm_tga_o[5]" -section_id auto_signaltap_0
406-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[176] -to "sdram_rw:sdr_rw|wbm_tga_o[6]" -section_id auto_signaltap_0
407-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[177] -to "sdram_rw:sdr_rw|wbm_tga_o[7]" -section_id auto_signaltap_0
408-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[178] -to "sdram_rw:sdr_rw|wbm_we_i" -section_id auto_signaltap_0
409-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[179] -to "sdram_rw:sdr_rw|writing" -section_id auto_signaltap_0
410-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_DATA_BITS=180" -section_id auto_signaltap_0
411-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[59] -to "sdram_rw:sdr_rw|wbm_we_i" -section_id auto_signaltap_0
412-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[60] -to "sdram_rw:sdr_rw|writing" -section_id auto_signaltap_0
413-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_BITS=61" -section_id auto_signaltap_0
414-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK=000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" -section_id auto_signaltap_0
415-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK_LENGTH=207" -section_id auto_signaltap_0
416-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_LOWORD=13771" -section_id auto_signaltap_0
417-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_HIWORD=11951" -section_id auto_signaltap_0
418153 set_global_assignment -name SLD_FILE "D:/daisuke/nes/repo/motonesfpga/tools/qt_proj_test5/sdram-test_auto_stripped.stp"
419154 set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
\ No newline at end of file
--- a/tools/qt_proj_test5/qt_proj_test5.vhd
+++ b/tools/qt_proj_test5/qt_proj_test5.vhd
@@ -7,7 +7,7 @@ library ieee;
77 use ieee.std_logic_1164.all;
88 use ieee.std_logic_unsigned.conv_integer;
99
10-entity qt_proj_test5_old is
10+entity qt_proj_test5 is
1111 port (
1212
1313 signal dbg_cpu_clk : out std_logic;
@@ -16,18 +16,6 @@ entity qt_proj_test5_old is
1616 signal dbg_addr : out std_logic_vector( 16 - 1 downto 0);
1717 signal dbg_d_io : out std_logic_vector( 8 - 1 downto 0);
1818
19--- signal dbg_status : out std_logic_vector(7 downto 0);
20--- signal dbg_dec_oe_n : out std_logic;
21--- signal dbg_dec_val : out std_logic_vector (7 downto 0);
22--- signal dbg_int_dbus : out std_logic_vector (7 downto 0);
23--- signal dbg_status_val : out std_logic_vector (7 downto 0);
24--- signal dbg_stat_we_n : out std_logic;
25-
26----monitor inside cpu
27--- signal dbg_d1, dbg_d2, dbg_d_out: out std_logic_vector (7 downto 0);
28--- signal dbg_ea_carry, dbg_carry_clr_n : out std_logic;
29--- signal dbg_gate_n : out std_logic;
30-
3119 signal dbg_pos_x : out std_logic_vector (8 downto 0);
3220 signal dbg_pos_y : out std_logic_vector (8 downto 0);
3321 signal dbg_nes_r : out std_logic_vector (3 downto 0);
@@ -80,9 +68,9 @@ entity qt_proj_test5_old is
8068 dram_we_n : out std_logic --Write Enable
8169
8270 );
83-end qt_proj_test5_old;
71+end qt_proj_test5;
8472
85-architecture rtl of qt_proj_test5_old is
73+architecture rtl of qt_proj_test5 is
8674
8775 component clock_divider
8876 port ( base_clk : in std_logic;
@@ -209,38 +197,6 @@ component sdram_controller
209197 end component;
210198
211199
212-component sdram_rw
213- generic(
214- reset_polarity : std_logic := '0' --When rst = reset_polarity, system at RESET
215- );
216- port(
217- --Clock and Reset
218- clk_i : in std_logic; --WISHBONE Clock
219- rst : in std_logic; --RESET
220-
221- --Signals to SDRAM controller
222- wbm_adr_o : out std_logic_vector (21 downto 0); --Address to read from / write to
223- wbm_dat_i : in std_logic_vector (15 downto 0); --Data out (to SDRAM)
224- wbm_dat_o : out std_logic_vector (15 downto 0); --Data in (from SDRAM)
225- wbm_we_i : out std_logic; --'1' - Write, '0' - Read
226- wbm_tga_o : out std_logic_vector (7 downto 0); --Read/write burst length-1 (0 represents 1 word, FF represents 256 words)
227- wbm_cyc_o : out std_logic; --Transmit command to SDRAM controller
228- wbm_stb_o : out std_logic; --Transmit command to SDRAM controller
229- wbm_stall_i : in std_logic; --When '1', write data to SDRAM
230- wbm_ack_i : in std_logic; --when '1', data is ready to be read from SDRAM
231-
232- --Debug and test signals
233- cmd_ack : in std_logic; --Command has been acknowledged by SDRAM controller
234- cmd_done : in std_logic; --Command has finished (read/write)
235- green_led : out std_logic; --Test passed
236- red_led : out std_logic; --Test fail
237- writing : out std_logic; --'1' when writing, '0' when reading
238- mem_val_o : out std_logic_vector (15 downto 0); --Memory value written / compared to SDRAM
239- sdram_val_o : out std_logic_vector (15 downto 0); --Read value from SDRAM
240- cur_st_o : out std_logic_vector (3 downto 0) --Current state
241- );
242-end component;
243-
244200 constant data_size : integer := 8;
245201 constant addr_size : integer := 16;
246202 constant size14 : integer := 14;
@@ -328,76 +284,49 @@ begin
328284 -- end process;
329285
330286
331--- vga_ctl_inst : vga_ctl
332--- port map (
333--- dbg_vga_x ,
334--- dbg_vga_y ,
335--- dbg_nes_x ,
336--- dbg_nes_x_old ,
337--- dbg_sw_state ,
338---
339--- dbg_f_in ,
340--- dbg_f_out ,
341--- dbg_f_cnt ,
342--- dbg_f_rd, dbg_f_wr, dbg_f_emp, dbg_f_ful ,
343--- dbg_bst_cnt ,
344---
345--- ppu_clk ,
346--- --vga_clk_pll,
347--- --ppu_clk ,
348--- vga_clk ,
349--- mem_clk ,
350--- rst_n ,
351--- pos_x ,
352--- pos_y ,
353--- nes_r ,
354--- nes_g ,
355--- nes_b ,
356--- h_sync_n ,
357--- v_sync_n ,
358--- r ,
359--- g ,
360--- b ,
361---
362--- --SDRAM Signals
363--- wbs_adr_i ,
364--- wbs_dat_i ,
365--- wbs_we_i ,
366--- wbs_tga_i ,
367--- wbs_cyc_i ,
368--- wbs_stb_i ,
369--- wbs_dat_o ,
370--- wbs_stall_o ,
371--- wbs_err_o ,
372--- wbs_ack_o
373--- );
374-
375- test_sdram_inst : sdram_rw
287+ vga_ctl_inst : vga_ctl
376288 port map (
377- mem_clk,
378- rst_n,
379-
380- --Signals to SDRAM controller
381- wbs_adr_i,
382- wbs_dat_o,
383- wbs_dat_i,
384- wbs_we_i,
385- wbs_tga_i,
386- wbs_cyc_i,
387- wbs_stb_i,
388- wbs_stall_o,
389- wbs_ack_o,
390-
391- --Debug and test signals
392- cmd_ack ,
393- cmd_done ,
394- green_led ,
395- red_led ,
396- writing ,
397- mem_val_o ,
398- sdram_val_o ,
399- cur_st_o
400- );
289+ dbg_vga_x ,
290+ dbg_vga_y ,
291+ dbg_nes_x ,
292+ dbg_nes_x_old ,
293+ dbg_sw_state ,
294+
295+ dbg_f_in ,
296+ dbg_f_out ,
297+ dbg_f_cnt ,
298+ dbg_f_rd, dbg_f_wr, dbg_f_emp, dbg_f_ful ,
299+ dbg_bst_cnt ,
300+
301+ ppu_clk ,
302+ --vga_clk_pll,
303+ --ppu_clk ,
304+ vga_clk ,
305+ mem_clk ,
306+ rst_n ,
307+ pos_x ,
308+ pos_y ,
309+ nes_r ,
310+ nes_g ,
311+ nes_b ,
312+ h_sync_n ,
313+ v_sync_n ,
314+ r ,
315+ g ,
316+ b ,
317+
318+ --SDRAM Signals
319+ wbs_adr_i ,
320+ wbs_dat_i ,
321+ wbs_we_i ,
322+ wbs_tga_i ,
323+ wbs_cyc_i ,
324+ wbs_stb_i ,
325+ wbs_dat_o ,
326+ wbs_stall_o ,
327+ wbs_err_o ,
328+ wbs_ack_o
329+ );
401330
402331 dram_clk <= not mem_clk;
403332 sdram_clk <= not mem_clk;
@@ -439,248 +368,6 @@ sdram_ctl_inst : sdram_controller
439368 main_st_o
440369 );
441370
442- -- signal addr : std_logic_vector( addr_size - 1 downto 0);
443--- signal d_io : std_logic_vector( data_size - 1 downto 0);
444---
445---component counter_register
446--- generic (
447--- dsize : integer := 8;
448--- inc : integer := 1
449--- );
450--- port ( clk : in std_logic;
451--- rst_n : in std_logic;
452--- ce_n : in std_logic;
453--- we_n : in std_logic;
454--- d : in std_logic_vector(dsize - 1 downto 0);
455--- q : out std_logic_vector(dsize - 1 downto 0)
456--- );
457---end component;
458---
459---component prg_rom
460--- generic (abus_size : integer := 15; dbus_size : integer := 8);
461--- port ( clk : in std_logic;
462--- ce_n : in std_logic; --select pin active low.
463--- addr : in std_logic_vector (abus_size - 1 downto 0);
464--- data : inout std_logic_vector (dbus_size - 1 downto 0)
465--- );
466---end component;
467---
468---component processor_status
469--- generic (
470--- dsize : integer := 8
471--- );
472--- port (
473--- signal dbg_dec_oe_n : out std_logic;
474--- signal dbg_dec_val : out std_logic_vector (dsize - 1 downto 0);
475--- signal dbg_int_dbus : out std_logic_vector (dsize - 1 downto 0);
476--- signal dbg_status_val : out std_logic_vector (7 downto 0);
477--- signal dbg_stat_we_n : out std_logic;
478---
479--- clk : in std_logic;
480--- res_n : in std_logic;
481--- dec_oe_n : in std_logic;
482--- bus_oe_n : in std_logic;
483--- set_flg_n : in std_logic;
484--- flg_val : in std_logic;
485--- load_bus_all_n : in std_logic;
486--- load_bus_nz_n : in std_logic;
487--- set_from_alu_n : in std_logic;
488--- alu_n : in std_logic;
489--- alu_v : in std_logic;
490--- alu_z : in std_logic;
491--- alu_c : in std_logic;
492--- stat_c : out std_logic;
493--- dec_val : inout std_logic_vector (dsize - 1 downto 0);
494--- int_dbus : inout std_logic_vector (dsize - 1 downto 0)
495--- );
496---end component;
497---
498--- ---status register
499--- signal status_reg, int_d_bus : std_logic_vector (7 downto 0);
500--- signal stat_dec_oe_n : std_logic;
501--- signal stat_bus_oe_n : std_logic;
502--- signal stat_set_flg_n : std_logic;
503--- signal stat_flg : std_logic;
504--- signal stat_bus_all_n : std_logic;
505--- signal stat_bus_nz_n : std_logic;
506--- signal stat_alu_we_n : std_logic;
507--- signal alu_n : std_logic;
508--- signal alu_z : std_logic;
509--- signal alu_c : std_logic;
510--- signal alu_v : std_logic;
511--- signal stat_c : std_logic;
512--- signal trig_clk : std_logic;
513---
514---
515---
516--- component alu_test
517--- port (
518--- d1 : in std_logic_vector(7 downto 0);
519--- d2 : in std_logic_vector(7 downto 0);
520--- d_out : out std_logic_vector(7 downto 0);
521--- carry_clr_n : in std_logic;
522--- ea_carry : out std_logic
523--- );
524---end component;
525---
526--- signal d1, d2, d_out : std_logic_vector (7 downto 0);
527--- signal ea_carry, gate_n : std_logic;
528--- signal carry_clr_n : std_logic;
529-
530-
531-
532-
533--- trig_clk <= not cpu_clk;
534---
535--- pcl_inst : counter_register generic map (16) port map
536--- (cpu_clk, rst_n, '0', '1', (others => '0'), addr(15 downto 0));
537---
538--- rom_inst : prg_rom generic map (12, 8) port map
539--- (base_clk, '0', addr(11 downto 0), d_io);
540---
541--- dbg_addr <= addr;
542--- dbg_d_io <= d_io;
543---
544--- dbg_d1 <= d1;
545--- dbg_d2 <= d2;
546--- dbg_d_out <= d_out;
547--- dbg_ea_carry <= ea_carry;
548--- dbg_carry_clr_n <= carry_clr_n;
549--- dbg_gate_n <= gate_n;
550---
551--- dummy_alu : alu_test
552--- port map (
553--- d1, d2, d_out, carry_clr_n , ea_carry
554--- );
555---
556--- gate_n <= not ea_carry;
557--- dec_test_p : process (rst_n, ea_carry, trig_clk)
558--- begin
559--- if (rst_n = '0') then
560--- d1 <= "00000000";
561--- d2 <= "00000000";
562--- carry_clr_n <= '0';
563--- --gate_n <= '1';
564----- elsif (ea_carry = '1') then
565----- gate_n <= '0';
566----- carry_clr_n <= '0';
567--- elsif (rising_edge(trig_clk)) then
568--- if (addr(5 downto 0) = "000001") then
569--- --addr=01
570--- carry_clr_n <= '1';
571--- d1 <= "00010011";
572--- d2 <= "01000111";
573--- --gate_n <= '1';
574--- elsif (addr(5 downto 0) = "000010") then
575--- --addr=02
576--- carry_clr_n <= '1';
577--- d1 <= "00110011";
578--- d2 <= "11001111";
579--- --gate_n <= '1';
580--- elsif (addr(5 downto 0) = "000011") then
581--- --addr=03
582--- carry_clr_n <= '1';
583--- d1 <= "00001010";
584--- d2 <= "01011001";
585--- --gate_n <= '1';
586--- elsif (addr(5 downto 0) = "000100") then
587--- --addr=04
588--- carry_clr_n <= '1';
589--- d1 <= "10001010";
590--- d2 <= "10011001";
591--- --gate_n <= '1';
592--- else
593--- carry_clr_n <= '1';
594--- d1 <= "00000000";
595--- d2 <= "00000000";
596--- --gate_n <= '1';
597--- end if;
598--- end if;
599--- end process;
600---
601---
602--- --status register
603--- status_register : processor_status generic map (8)
604--- port map (
605--- dbg_dec_oe_n,
606--- dbg_dec_val,
607--- dbg_int_dbus,
608--- dbg_status_val,
609--- dbg_stat_we_n ,
610--- trig_clk , rst_n,
611--- stat_dec_oe_n, stat_bus_oe_n,
612--- stat_set_flg_n, stat_flg, stat_bus_all_n, stat_bus_nz_n,
613--- stat_alu_we_n, alu_n, alu_v, alu_z, alu_c, stat_c,
614--- status_reg, int_d_bus);
615---
616--- dbg_status <= status_reg;
617--- status_test_p : process (addr)
618--- begin
619--- if (addr(5 downto 0) = "000010") then
620--- --addr=02
621--- --set status(7) = '1'
622--- stat_dec_oe_n <= '1';
623--- stat_bus_oe_n <= '1';
624--- stat_set_flg_n <= '0';
625--- stat_flg <= '1';
626--- stat_bus_all_n <= '1';
627--- stat_bus_nz_n <= '1';
628--- stat_alu_we_n <= '1';
629--- status_reg <= "01000000";
630--- int_d_bus <= "00000000";
631---
632--- elsif (addr(5 downto 0) = "000100") then
633--- --addr=04
634--- --set status(2) = '0'
635--- stat_dec_oe_n <= '1';
636--- stat_bus_oe_n <= '1';
637--- stat_set_flg_n <= '0';
638--- stat_flg <= '0';
639--- stat_bus_all_n <= '1';
640--- stat_bus_nz_n <= '1';
641--- stat_alu_we_n <= '1';
642--- status_reg <= "00000100";
643--- int_d_bus <= "00000000";
644---
645--- elsif (addr(5 downto 0) = "000110") then
646--- --addr=06
647--- --set nz from bus, n=1
648--- stat_dec_oe_n <= '1';
649--- stat_bus_oe_n <= '1';
650--- stat_set_flg_n <= '1';
651--- stat_flg <= '0';
652--- stat_bus_all_n <= '1';
653--- stat_bus_nz_n <= '0';
654--- stat_alu_we_n <= '1';
655--- status_reg <= (others => 'Z');
656--- int_d_bus <= "10000000";
657---
658--- elsif (addr(5 downto 0) = "001000") then
659--- --addr=08
660--- --set nz from bus, z=1
661--- stat_dec_oe_n <= '1';
662--- stat_bus_oe_n <= '1';
663--- stat_set_flg_n <= '1';
664--- stat_flg <= '0';
665--- stat_bus_all_n <= '1';
666--- stat_bus_nz_n <= '0';
667--- stat_alu_we_n <= '1';
668--- status_reg <= (others => 'Z');
669--- int_d_bus <= "00000000";
670---
671--- else
672--- stat_dec_oe_n <= '0';
673--- stat_bus_oe_n <= '1';
674--- stat_set_flg_n <= '1';
675--- stat_flg <= '1';
676--- stat_bus_all_n <= '1';
677--- stat_bus_nz_n <= '1';
678--- stat_alu_we_n <= '1';
679--- status_reg <= (others => 'Z');
680--- int_d_bus <= (others => 'Z');
681--- end if;
682--- end process;
683-
684371 end rtl;
685372
686373
@@ -702,7 +389,7 @@ library ieee;
702389 use ieee.std_logic_1164.all;
703390 use ieee.std_logic_unsigned.conv_integer;
704391
705-entity qt_proj_test5 is
392+entity sdram_test is
706393 port (
707394
708395 signal dbg_cpu_clk : out std_logic;
@@ -763,9 +450,9 @@ entity qt_proj_test5 is
763450 dram_we_n : out std_logic --Write Enable
764451
765452 );
766-end qt_proj_test5;
453+end sdram_test;
767454
768-architecture rtl of qt_proj_test5 is
455+architecture rtl of sdram_test is
769456
770457 component sdram_controller
771458 generic
Binary files /dev/null and b/tools/qt_proj_test5/sdram-bug.png differ
--- a/tools/qt_proj_test5/sdram-test.stp
+++ b/tools/qt_proj_test5/sdram-test.stp
@@ -1,19 +1,19 @@
11 <session jtag_chain="USB-Blaster [USB-0]" jtag_device="@1: EP2C(15|20) (0x020B30DD)" sof_file="">
22 <display_tree gui_logging_enabled="0">
3- <display_branch instance="auto_signaltap_0" signal_set="USE_GLOBAL_TEMP" trigger="USE_GLOBAL_TEMP"/>
3+ <display_branch instance="auto_signaltap_0" log="USE_GLOBAL_TEMP" signal_set="USE_GLOBAL_TEMP" trigger="USE_GLOBAL_TEMP"/>
44 </display_tree>
55 <instance entity_name="sld_signaltap" is_auto_node="yes" is_expanded="true" name="auto_signaltap_0" source_file="sld_signaltap.vhd">
66 <node_ip_info instance_id="0" mfg_id="110" node_id="0" version="6"/>
77 <position_info>
8- <single attribute="active tab" value="1"/>
9- <single attribute="data horizontal scroll position" value="121"/>
8+ <single attribute="active tab" value="0"/>
9+ <single attribute="data horizontal scroll position" value="40"/>
1010 <single attribute="data vertical scroll position" value="0"/>
1111 <single attribute="setup horizontal scroll position" value="0"/>
1212 <single attribute="setup vertical scroll position" value="4"/>
1313 <single attribute="zoom level denominator" value="1"/>
14- <single attribute="zoom level numerator" value="32"/>
15- <single attribute="zoom offset denominator" value="32"/>
16- <single attribute="zoom offset numerator" value="130049"/>
14+ <single attribute="zoom level numerator" value="4"/>
15+ <single attribute="zoom offset denominator" value="1"/>
16+ <single attribute="zoom offset numerator" value="32512"/>
1717 <multi attribute="timebars" size="0" value=""/>
1818 </position_info>
1919 <signal_set global_temp="1" name="signal_set: 2014/07/08 11:17:52 #0">
@@ -454,7 +454,7 @@
454454 <node data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="green_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="14" type="output pin"/>
455455 <node data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="16" type="input pin"/>
456456 <node data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|clk_i" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="72" tap_mode="classic" type="combinatorial"/>
457- <node data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="red_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="15" type="output pin"/>
457+ <node data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="rising edge" name="red_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="15" type="output pin"/>
458458 <node data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_we_i" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="59" type="combinatorial"/>
459459 <node name="sdram_rw:sdr_rw|cur_st_o" order="msb_to_lsb" type="combinatorial">
460460 <node data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cur_st_o[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="79" tap_mode="classic" trigger_index="54" type="combinatorial"/>
@@ -585,28 +585,28 @@
585585 <node data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" trigger_index="18" type="bidir pin"/>
586586 </node>
587587 <node name="sdram_controller:sdr_ctrl|address_r" order="msb_to_lsb" state="collapse" type="register">
588- <node data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="30" type="register"/>
589- <node data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="29" type="register"/>
590- <node data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="27" type="register"/>
591- <node data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="26" type="register"/>
592- <node data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="25" type="register"/>
593- <node data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="24" type="register"/>
594- <node data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="23" type="register"/>
595- <node data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="22" type="register"/>
596- <node data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="21" type="register"/>
597- <node data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="20" type="register"/>
598- <node data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="19" type="register"/>
599- <node data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="18" type="register"/>
600- <node data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="38" type="register"/>
601- <node data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="37" type="register"/>
602- <node data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="36" type="register"/>
603- <node data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="35" type="register"/>
604- <node data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="34" type="register"/>
605- <node data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="33" type="register"/>
606- <node data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="32" type="register"/>
607- <node data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="31" type="register"/>
608- <node data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="28" type="register"/>
609- <node data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="17" type="register"/>
588+ <node data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="30" type="register"/>
589+ <node data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="29" type="register"/>
590+ <node data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="27" type="register"/>
591+ <node data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="26" type="register"/>
592+ <node data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="25" type="register"/>
593+ <node data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="24" type="register"/>
594+ <node data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="23" type="register"/>
595+ <node data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="22" type="register"/>
596+ <node data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="21" type="register"/>
597+ <node data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="20" type="register"/>
598+ <node data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="19" type="register"/>
599+ <node data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="18" type="register"/>
600+ <node data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="38" type="register"/>
601+ <node data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="37" type="register"/>
602+ <node data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="36" type="register"/>
603+ <node data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="35" type="register"/>
604+ <node data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="34" type="register"/>
605+ <node data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="33" type="register"/>
606+ <node data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="32" type="register"/>
607+ <node data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="31" type="register"/>
608+ <node data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="28" type="register"/>
609+ <node data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="17" type="register"/>
610610 </node>
611611 <node name="sdram_rw:sdr_rw|wbm_tga_o" order="msb_to_lsb" type="combinatorial">
612612 <node data_index="177" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="177" tap_mode="classic" type="combinatorial"/>
@@ -624,7 +624,7 @@
624624 <node data_index="112" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_ack_i" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="112" tap_mode="classic" trigger_index="55" type="combinatorial"/>
625625 <node data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cmd_ack" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="73" tap_mode="classic" trigger_index="48" type="combinatorial"/>
626626 <node data_index="74" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cmd_done" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="74" tap_mode="classic" trigger_index="49" type="combinatorial"/>
627- <node data_index="179" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|writing" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="179" tap_mode="classic" trigger_index="59" type="combinatorial"/>
627+ <node data_index="179" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|writing" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="179" tap_mode="classic" trigger_index="60" type="combinatorial"/>
628628 <node name="sdram_rw:sdr_rw|sdram_val_o" order="msb_to_lsb" type="combinatorial">
629629 <node data_index="102" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[15]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="102" tap_mode="classic" type="combinatorial"/>
630630 <node data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[14]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="101" tap_mode="classic" type="combinatorial"/>
@@ -660,7 +660,7 @@
660660 <net data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="green_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="14" type="output pin"/>
661661 <net data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="16" type="input pin"/>
662662 <net data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|clk_i" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="72" tap_mode="classic" type="combinatorial"/>
663- <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="red_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="15" type="output pin"/>
663+ <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="rising edge" name="red_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="15" type="output pin"/>
664664 <net data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_we_i" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="59" type="combinatorial"/>
665665 <bus name="sdram_rw:sdr_rw|cur_st_o" order="msb_to_lsb" type="combinatorial">
666666 <net data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cur_st_o[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="79" tap_mode="classic" trigger_index="54" type="combinatorial"/>
@@ -791,28 +791,28 @@
791791 <net data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" trigger_index="18" type="bidir pin"/>
792792 </bus>
793793 <bus name="sdram_controller:sdr_ctrl|address_r" order="msb_to_lsb" state="collapse" type="register">
794- <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="30" type="register"/>
795- <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="29" type="register"/>
796- <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="27" type="register"/>
797- <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="26" type="register"/>
798- <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="25" type="register"/>
799- <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="24" type="register"/>
800- <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="23" type="register"/>
801- <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="22" type="register"/>
802- <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="21" type="register"/>
803- <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="20" type="register"/>
804- <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="19" type="register"/>
805- <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="18" type="register"/>
806- <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="38" type="register"/>
807- <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="37" type="register"/>
808- <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="36" type="register"/>
809- <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="35" type="register"/>
810- <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="34" type="register"/>
811- <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="33" type="register"/>
812- <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="32" type="register"/>
813- <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="31" type="register"/>
814- <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="28" type="register"/>
815- <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="17" type="register"/>
794+ <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="30" type="register"/>
795+ <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="29" type="register"/>
796+ <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="27" type="register"/>
797+ <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="26" type="register"/>
798+ <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="25" type="register"/>
799+ <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="24" type="register"/>
800+ <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="23" type="register"/>
801+ <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="22" type="register"/>
802+ <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="21" type="register"/>
803+ <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="20" type="register"/>
804+ <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="19" type="register"/>
805+ <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="18" type="register"/>
806+ <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="38" type="register"/>
807+ <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="37" type="register"/>
808+ <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="36" type="register"/>
809+ <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="35" type="register"/>
810+ <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="34" type="register"/>
811+ <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="33" type="register"/>
812+ <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="32" type="register"/>
813+ <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="31" type="register"/>
814+ <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="28" type="register"/>
815+ <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="17" type="register"/>
816816 </bus>
817817 <bus name="sdram_rw:sdr_rw|wbm_tga_o" order="msb_to_lsb" type="combinatorial">
818818 <net data_index="177" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="177" tap_mode="classic" type="combinatorial"/>
@@ -866,7 +866,7 @@
866866 <net data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="green_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="14" type="output pin"/>
867867 <net data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="16" type="input pin"/>
868868 <net data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|clk_i" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="72" tap_mode="classic" type="combinatorial"/>
869- <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="red_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="15" type="output pin"/>
869+ <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="rising edge" name="red_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="15" type="output pin"/>
870870 <net data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_we_i" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="59" type="combinatorial"/>
871871 <bus name="sdram_rw:sdr_rw|cur_st_o" order="msb_to_lsb" type="combinatorial">
872872 <net data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cur_st_o[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="79" tap_mode="classic" trigger_index="54" type="combinatorial"/>
@@ -997,28 +997,28 @@
997997 <net data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" trigger_index="18" type="bidir pin"/>
998998 </bus>
999999 <bus name="sdram_controller:sdr_ctrl|address_r" order="msb_to_lsb" state="collapse" type="register">
1000- <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="30" type="register"/>
1001- <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="29" type="register"/>
1002- <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="27" type="register"/>
1003- <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="26" type="register"/>
1004- <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="25" type="register"/>
1005- <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="24" type="register"/>
1006- <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="23" type="register"/>
1007- <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="22" type="register"/>
1008- <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="21" type="register"/>
1009- <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="20" type="register"/>
1010- <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="19" type="register"/>
1011- <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="18" type="register"/>
1012- <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="38" type="register"/>
1013- <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="37" type="register"/>
1014- <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="36" type="register"/>
1015- <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="35" type="register"/>
1016- <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="34" type="register"/>
1017- <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="33" type="register"/>
1018- <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="32" type="register"/>
1019- <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="31" type="register"/>
1020- <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="28" type="register"/>
1021- <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="17" type="register"/>
1000+ <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="30" type="register"/>
1001+ <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="29" type="register"/>
1002+ <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="27" type="register"/>
1003+ <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="26" type="register"/>
1004+ <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="25" type="register"/>
1005+ <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="24" type="register"/>
1006+ <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="23" type="register"/>
1007+ <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="22" type="register"/>
1008+ <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="21" type="register"/>
1009+ <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="20" type="register"/>
1010+ <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="19" type="register"/>
1011+ <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="18" type="register"/>
1012+ <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="38" type="register"/>
1013+ <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="37" type="register"/>
1014+ <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="36" type="register"/>
1015+ <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="35" type="register"/>
1016+ <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="34" type="register"/>
1017+ <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="33" type="register"/>
1018+ <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="32" type="register"/>
1019+ <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="31" type="register"/>
1020+ <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="28" type="register"/>
1021+ <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="17" type="register"/>
10221022 </bus>
10231023 <bus name="sdram_rw:sdr_rw|wbm_tga_o" order="msb_to_lsb" type="combinatorial">
10241024 <net data_index="177" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="177" tap_mode="classic" type="combinatorial"/>
@@ -1071,10 +1071,10 @@
10711071 <trigger_in_editor/>
10721072 <trigger_out_editor/>
10731073 </presentation>
1074- <trigger attribute_mem_mode="false" gap_record="true" global_temp="1" name="trigger: 2014/07/08 11:17:52 #1" position="pre" power_up_trigger_mode="false" record_data_gap="true" segment_size="1024" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="true" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_type="circular">
1074+ <trigger CRC="2EAF35CB" attribute_mem_mode="false" gap_record="true" global_temp="1" name="trigger: 2014/07/08 11:29:07 #0" position="pre" power_up_trigger_mode="false" record_data_gap="true" segment_size="1024" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="true" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_type="circular">
10751075 <power_up_trigger position="pre" storage_qualifier_disabled="no"/>
10761076 <events use_custom_flow_control="no">
1077- <level enabled="yes" name="condition1" type="basic">'red_led' == low &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[0]' == high &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[10]' == low &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[11]' == low &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[12]' == high &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[13]' == high &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[14]' == high &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[15]' == high &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[16]' == low &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[17]' == low &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[18]' == low &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[19]' == low &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[1]' == low &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[20]' == low &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[21]' == low &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[2]' == low &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[3]' == high &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[4]' == high &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[5]' == low &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[6]' == low &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[7]' == high &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[8]' == low &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[9]' == low
1077+ <level enabled="yes" name="condition1" type="basic">'red_led' == rising edge
10781078 <power_up enabled="yes">
10791079 </power_up>
10801080 <op_node/>
@@ -1100,6 +1100,10 @@
11001100 <op_node/>
11011101 </storage_qualifier_level>
11021102 </storage_qualifier_events>
1103+ <log>
1104+ <data global_temp="1" name="log: 2014/07/08 11:29:07 #1" power_up_mode="false" sample_depth="1024" trigger_position="128">000000000000001110100110101111100101010010110000011000000000000001011100100100010001101011111001010010110101100110110000011000000000000100011010111110010100101101011001011111111100000000000000001110000110111111100101010010110000011000000000000110011100100100011001101011111001010010110101100110110000011000000000000110011010111110010100101101011001011111111100000000000000001110100110111111100101010010110000011000000000000010011100100100010001101111111001010010110101100110110000011000000000000100011011111110010100101101011001011111111100000000000000001110000110100000010101010010110000011000000000000100011100100100011001101111111001010010110101100110110000011000000000000110011011111110010100101101011001011111111100000000000000001110100110100000010101010010110000011000000000000000011100100100010001101000000101010010110101100110110000011000000000000100011010000001010100101101011001011111111100000000000000001110000110110000010101010010110000011000000000000111101100100100011001101000000101010010110101100110110000011000000000000110011010000001010100101101011001011111111100000000000000001110100110110000010101010010110000011000000000000011101100100100010001101100000101010010110101100110110000011000000000000100011011000001010100101101011001011111111100000000000000001110000110101000010101010010110000011000000000000101101100100100011001101100000101010010110101100110110000011000000000000110011011000001010100101101011001011111111100000000000000001110100110101000010101010010110000011000000000000001101100100100010001101010000101010010110101100110110000011000000000000100011010100001010100101101011001011111111100000000000000001110000110111000010101010010110000011000000000000110101100100100011001101010000101010010110101100110110000011000000000000110011010100001010100101101011001011111111100000000000000001110100110111000010101010010110000011000000000000010101100100100010001101110000101010010110101100110110000011000000000000100011011100001010100101101011001011111111100000000000000001110000110100100010101010010110000011000000000000100101100100100011001101110000101010010110101100110110000011000000000000110011011100001010100101101011001011111111100000000000000001110100110100100010101010010110000011000000000000000101100100100010001101001000101010010110101100110110000011000000000000100011010010001010100101101011001011111111100000000000000001110000110110100010101010010110000011000000000000111001100100100011001101001000101010010110101100110110000011000000000000110011010010001010100101101011001011111111100000000000000001110100110110100010101010010110000011000000000000011001100100100010001101101000101010010110101100110110000011000000000000100011011010001010100101101011001011111111100000000000000001110000110101100010101010010110000011000000000000101001100100100011001101101000101010010110101100110110000011000000000000110011011010001010100101101011001011111111100000000000000001110100110101100010101010010110000011000000000000001001100100100010001101011000101010010110101100110110000011000000000000100011010110001010100101101011001011111111100000000000000001110000110111100010101010010110000011000000000000110001100100100011001101011000101010010110101100110110000011000000000000110011010110001010100101101011001011111111100000000000000001110100110111100010101010010110000011000000000000010001100100100010001101111000101010010110101100110110000011000000000000100011011110001010100101101011001011111111100000000000000001110000110100010010101010010110000011000000000000100001100100100011001101111000101010010110101100110110000011000000000000110011011110001010100101101011001011111111100000000000000001110100110100010010101010010110000011000000000000000001100100100010001101000100101010010110101100110110000011000000000000100011010001001010100101101011001011111111100000000000000001110000110110010010101010010110000011000000000000111110100100100011001101000100101010010110101100110110000011000000000000110011010001001010100101101011001011111111100000000000000001110100110110010010101010010110000011000000000000011110100100100010001101100100101010010110101100110110000011000000000000100011011001001010100101101011001011111111100000000000000001110000110101010010101010010110000011000000000000101110100100100011001101100100101010010110101100110110000011000000000000110011011001001010100101101011001011111111100000000000000001110100110101010010101010010110000011000000000000001110100100100010001101010100101010010110101100110110000011000000000000100011010101001010100101101011001011111111100000000000000001110000110111010010101010010110000011000000000000110110100100100011001101010100101010010110101100110110000011000000000000110011010101001010100101101011001011111111100000000000000001110100110111010010101010010110000011000000000000010110100100100010001101110100101010010110101100110110000011000000000000100011011101001010100101101011001011111111100000000000000001110000110100110010101010010110000011000000000000100110100100100011001101110100101010010110101100110110000011000000000000110011011101001010100101101011001011111111100000000000000001110100110100110010101010010110000011000000000000000110100100100010001101001100101010010110101100110110000011000000000000100011010011001010100101101011001011111111100000000000000001110000110110110010101010010110000011000000000000111010100100100011001101001100101010010110101100110110000011000000000000110011010011001010100101101011001011111111100000000000000001110100110110110010101010010110000011000000000000011010100100100010001101101100101010010110101100110110000011000000000000100011011011001010100101101011001011111111100000000000000001110000110101110010101010010110000011000000000000101010100100100011001101101100101010010110101100110110000011000000000000110011011011001010100101101011001011111111100000000000000001110100110101110010101010010110000011000000000000001010100100100010001101011100101010010110101100110110000011000000000000100011010111001010100101101011001011111111100000000000000001110000110111110010101010010110000011000000000000110010100100100011001101011100101010010110101100110110000011000000000000110011010111001010100101101011001011111111100000000000000001110100110111110010101010010110000011000000000000010010100100100010001101111100101010010110101100110110000011000000000000100011011111001010100101101011001011111111100000000000000001110000110100001010101010010110000011000000000000100010100100100011001101111100101010010110101100110110000011000000000000110011011111001010100101101011001011111111100000000000000001110100110100001010101010010110000011000000000000000010100100100010001101000010101010010110101100110110000011000000000000100011010000101010100101101011001011111111100000000000000001110000110110001010101010010110000011000000000000111100100100100011001101000010101010010110101100110110000011000000000000110011010000101010100101101011001011111111100000000000000001110100110110001010101010010110000011000000000000011100100100100010001101100010101010010110101100110110000011000000000000100011011000101010100101101011001011111111100000000000000001110000110101001010101010010110000011000000000000101100100100100011001101100010101010010110101100110110000011000000000000110011011000101010100101101011001011111111100000000000000001110100110101001010101010010110000011000000000000001100100100100010001101010010101010010110101100110110000011000000000000100011010100101010100101101011001011111111100000000000000001110000110111001010101010010110000011000000000000110100100100100011001101010010101010010110101100110110000011000000000000110011010100101010100101101011001011111111100000000000000001110100110111001010101010010110000011000000000000010100100100100010001101110010101010010110101100110110000011000000000000100011011100101010100101101011001011111111100000000000000001110000110100101010101010010110000011000000000000100100100100100011001101110010101010010110101100110110000011000000000000110011011100101010100101101011001011111111100000000000000001110100110100101010101010010110000011000000000000000100100100100010001101001010101010010110101100110110000011000000000000100011010010101010100101101011001011111111100000000000000001110000110110101010101010010110000011000000000000111000100100100011001101001010101010010110101100110110000011000000000000110011010010101010100101101011001011111111100000000000000001110100110110101010101010010110000011000000000000011000100100100010001101101010101010010110101100110110000011000000000000100011011010101010100101101011001011111111100000000000000001110000110101101010101010010110000011000000000000101000100100100011001101101010101010010110101100110110000011000000000000110011011010101010100101101011001011111111100000000000000001110100110101101010101010010110000011000000000000001000100100100010001101011010101010010110101100110110000011000000000000100011010110101010100101101011001011111111100000000000000001110000110111101010101010010110000011000000000000110000100100100011001101011010101010010110101100110110000011000000000000110011010110101010100101101011001011111111100000000000000001110100110111101010101010010110000011000000000000010000100100100010001101111010101010010110101100110110000011000000000000100011011110101010100101101011001011111111100000000000000001110000110100011010101010010110000011000000000000100000100100100011001101111010101010010110101100110110000011000000000000110011011110101010100101101011001011111111100000000000000001110100110100011010101010010110000011000000000000000000100100100010001101000110101010010110101100110110000011000000000000100011010001101010100101101011001011111111100000000000000001110000110110011010101010010110000011000000000000111111000100100011001101000110101010010110101100110110000011000000000000110011010001101010100101101011001011111111100000000000000001110100110110011010101010010110000011000000000000011111000100100010001101100110101010010110101100110110000011000000000000100011011001101010100101101011001011111111100000000000000001110000110101011010101010010110000011000000000000101111000100100011001101100110101010010110101100110110000011000000000000110011011001101010100101101011001011111111100000000000000001110100110101011010101010010110000011000000000000001111000100100010001101010110101010010110101100110110000011000000000000100011010101101010100101101011001011111111100000000000000001110000110111011010101010010110000011000000000000110111000100100011001101010110101010010110101100110110000011000000000000110011010101101010100101101011001011111111100000000000000001110100110111011010101010010110000011000000000000010111000100100010001101110110101010010110101100110110000011000000000000100011011101101010100101101011001011111111100000000000000001110000110100111010101010010110000011000000000000100111000100100011001101110110101010010110101100110110000011000000000000110011011101101010100101101011001011111111100000000000000001110100110100111010101010010110000011000000000000000111000100100010001101001110101010010110101100110110000011000000000000100011010011101010100101101011001011111111100000000000000001110000110110111010101010010110000011000000000000111011000100100011001101001110101010010110101100110110000011000000000000110011010011101010100101101011001011111111100000000000000001110100110110111010101010010110000011000000000000011011000100100010001101101110101010010110101100110110000011000000000000100011011011101010100101101011001011111111100000000000000001110000110101111010101010010110000011000000000000101011000100100011001101101110101010010110101100110110000011000000000000110011011011101010100101101011001011111111100000000000000001110100110101111010101010010110000011000000000000001011000100100010001101011110101010010110101100110110000011000000000000100011010111101010100101101011001011111111100000000000000001110000110111111010101010010110000011000000000000110011000100100011001101011110101010010110101100110110000011000000000000110011010111101010100101101011001011111111100000000000000001110100110111111010101010010110000011000000000000010011000100100010001101111110101010010110101100110110000011000000000000100011011111101010100101101011001011111111100000000000000001110000110100000110101010010110000011000000000000100011000100100011001101111110101010010110101100110110000011000000000000110011011111101010100101101011001011111111100000000000000001110100110100000110101010010110000011000000000000000011000100100010001101000001101010010110101100110110000011000000000000100011010000011010100101101011001011111111100000000000000001110000110110000110101010010110000011000000000000111101000100100011001101000001101010010110101100110110000011000000000000110011010000011010100101101011001011111111100000000000000001110100110110000110101010010110000011000000000000011101000100100010001101100001101010010110101100110110000011000000000000100011011000011010100101101011001011111111100000000000000001110000110101000110101010010110000011000000000000101101000100100011001101100001101010010110101100110110000011000000000000110011011000011010100101101011001011111111100000000000000001110100110101000110101010010110000011000000000000001101000100100010001101010001101010010110101100110110000011000000000000100011010100011010100101101011001011111111100000000000000001110000110111000110101010010110000011000000000000110101000100100011001101010001101010010110101100110110000011000000000000110011010100011010100101101011001011111111100000000000000001110100110111000110101010010110000011000000000000010101000100100010001101110001101010010110101100110110000011000000000000100011011100011010100101101011001011111111100000000000000001110000110100100110101010010110000011000000000000100101000100100011001101110001101010010110101100110110000011000000000000110011011100011010100101101011001011111111100000000000000001110100110100100110101010010110000011000000000000000101000100100010001101001001101010010110101100110110000011000000000000100011010010011010100101101011001011111111100000000000000001110000110110100110101010010110000011000000000000111001000100100011001101001001101010010110101100110110000011000000000000110011010010011010100101101011001011111111100000000000000001110100110110100110101010010110000011000000000000011001000100100010001101101001101010010110101100110110000011000000000000100011011010011010100101101011001011111111100000000000000001110000110101100110101010010110000011000000000000101001000100100011001101101001101010010110101100110110000011000000000000110011011010011010100101101011001011111111100000000000000001110100110101100110101010010110000011000000000000001001000100100010001101011001101010010110101100110110000011000000000000100011010110011010100101101011001011111111100000000000000001110000110111100110101010010110000011000000000000110001000100100011001101011001101010010110101100110110000011000000000000110011010110011010100101101011001011111111100000000000000001110100110111100110101010010110000011000000000000010001000100100010001101111001101010010110101100110110000011000000000000100011011110011010100101101011001011111111100000000000000001110000110100010110101010010110000011000000000000100001000100100011001101111001101010010110101100110110000011000000000000110011011110011010100101101011001011111111100000000000000001110100110100010110101010010110000011000000000000000001000100100010001101000101101010010110101100110110000011000000000000100011010001011010100101101011001011111111100000000000000001110000110110010110101010010110000011000000000000111110000100100011001101000101101010010110101100110110000011000000000000110011010001011010100101101011001011111111100000000000000001110100110110010110101010010110000011000000000000011110000100100010001101100101101010010110101100110110000011000000000000100011011001011010100101101011001011111111100000000000000001110000110101010110101010010110000011000000000000101110000100100011001101100101101010010110101100110110000011000000000000110011011001011010100101101011001011111111100000000000000001110100110101010110101010010110000011000000000000001110000100100010001101010101101010010110101100110110000011000000000000100011010101011010100101101011001011111111100000000000000001110000110111010110101010010110000011000000000000110110000100100011001101010101101010010110101100110110000011000000000000110011010101011010100101101011001011111111100000000000000001110100110111010110101010010110000011000000000000010110000100100010001101110101101010010110101100110110000011000000000000100011011101011010100101101011001011111111100000000000000001110000110100110110101010010110000011000000000000100110000100100011001101110101101010010110101100110110000011000000000000110011011101011010100101101011001011111111100000000000000001110100110100110110101010010110000011000000000000000110000100100010001101001101101010010110101100110110000011000000000000100011010011011010100101101011001011111111100000000000000001110000110110110110101010010110000011000000000000111010000100100011001101001101101010010110101100110110000011000000000000110011010011011010100101101011001011111111100000000000000001110100110110110110101010010110000011000000000000011010000100100010001101101101101010010110101100110110000011000000000000100011011011011010100101101011001011111111100000000000000001110000110101110110101010010110000011000000000000101010000100100011001101101101101010010110101100110110000011000000000000110011011011011010100101101011001011111111100000000000000001110100110101110110101010010110000011000000000000001010000100100010001101011101101010010110101100110110000011000000000000100011010111011010100101101011001011111111100000000000000001110000110111110110101010010110000011000000000000110010000100100011001101011101101010010110101100110110000011000000000000110011010111011010100101101011001011111111100000000000000001110100110111110110101010010110000011000000000000010010000100100010001101111101101010010110101100110110000011000000000000100011011111011010100101101011001011111111100000000000000001110000110100001110101010010110000011000000000000100010000100100011001101111101101010010110101100110110000011000000000000110011011111011010100101101011001011111111100000000000000001110100110100001110101010010110000011000000000000000010000100100010001101000011101010010110101100110110000011000000000000100011010000111010100101101011001011111111100000000000000001110000110110001110101010010110000011000000000000111100000100100011001101000011101010010110101100110110000011000000000000110011010000111010100101101011001011111111100000000000000001110100110110001110101010010110000011000000000000011100000100100010001101100011101010010110101100110110000011000000000000100011011000111010100101101011001011111111100000000000000001110000110101001110101010010110000011000000000000101100000100100011001101100011101010010110101100110110000011000000000000110011011000111010100101101011001011111111100000000000000001110100110101001110101010010110000011000000000000001100000100100010001101010011101010010110101100110110000011000000000000100011010100111010100101101011001011111111100000000000000001110000110111001110101010010110000011000000000000110100000100100011001101010011101010010110101100110110000011000000000000110011010100111010100101101011001011111111100000000000000001110100110111001110101010010110000011000000000000010100000100100010001101110011101010010110101100110110000011000000000000100011011100111010100101101011001011111111100000000000000001110000110100101110101010010110000011000000000000100100000100100011001101110011101010010110101100110110000011000000000000110011011100111010100101101011001011111111100000000000000001110100110100101110101010010110000011000000000000000100000100100010001101001011101010010110101100110110000011000000000000100011010010111010100101101011001011111111100000000000000001110000110110101110101010010110000011000000000000111000000100100011001101001011101010010110101100110110000011000000000000110011010010111010100101101011001011111111100000000000000001110100110110101110101010010110000011000000000000011000000100100010001101101011101010010110101100110110000011000000000000100011011010111010100101101011001011111111100000000000000001110000110101101110101010010110000011000000000000101000000100100011001101101011101010010110101100110110000011000000000000110011011010111010100101101011001011111111100000000000000001110100110101101110101010010110000011000000000000001000000100100010001101011011101010010110101100110110000011000000000000100011010110111010100101101011001011111111100000000000000001110000110111101110100000010110000011000000000000110000000101100011001101011011101010010110101100110110000011000000000000110011010110111010100101101011001011111111100000000000000001110100110111101110101010010110000011000000000000010000000100100010001101111011101010010110101100110110000011000000000000100011011110111010100101101011001011111111100000000000000001110000110100011111001010010110000011000000000000100000000100100011001101111011101010010110101100110110000011000000000010110011011110111010100101101011001011111111100000000000000001110100110100011111001010010110000011000000000000000000001100100010001101000111101010010110101100100110000011000000000010110011011110111010100101101011001111111111100000000000000001110100110100011111001010010110000011000000000010000000001100100010001101000111101010010110101100100110000011000000000010110011011110111010100101101011001111111111100110011000001001110100110100011111000010010110000011000000000010000000001100100010001101000111101010010110101100100110000011000000000010110011011110111010100101101011001111111111100000000000000001110100110100011111001010010110000011000000000010000000001110100010001101000111101010010110101100100110000011000000000010110011011110111010100101101011001111111111100000000000000001110100110100011111001010010110000011000000000010000000001110100010001101000111101010010110101100100110000011000000000010110011011110111010100101101011001111111111100000000000000001110100110100011111001010010110000011000000000010000000001110100010001101000111101010010110101100100110000011000000000010110011011110111010100101101011001111111111100000000000000000110100110100011111001010010110000011000000000010000000001100100010001101000111101010010110101100100110000011000000000010110011011110111010100101101011001111111111100000000000000001110100110100011111001010010110000011000000000010000000001100100010001101000111101010010110101100100110000011000000000010110011011110111010100101101011001111111111100000000000000001110100110100011111001010010110000011000000000010000000001100100010001101000111101010010110101100100110000011000000000010110011011110111010100101101011001111111111100000000000000001110100110100011111001010010110000011000000000010000000001100100010001101000111101010010110101100100110000011000000000010110011011110111010100101101011001111111111100000000000000001110100110110101101101010010110000011000000000010000000001100100010001101000111101010010110101100100110000011000000000010110011011110111010100101101011001111111111100000000000000001110000110101101101101010010110000011000000000010000000001100100010001101000111101010010110101100110110000011000000000010110011011010110110100101101011001011111111100000000000000001110100110101101101101010110110000011000000000010111111110100100010001101000111101010010110101100110110000011000000000010100011010110110110100101101011001011111111100000000000000001110000110111101101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010110110110100101101011001011111111100000000000000001110100110111101101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011110110110100101101011001011111111100000000000000001110000110100011101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011110110110100101101011001011111111100000000000000001110100110100011101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010001110110100101101011001011111111100000000000000001110000110110011101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010001110110100101101011001011111111100000000000000001110100110110011101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011001110110100101101011001011111111100000000000000001110000110101011101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011001110110100101101011001011111111100000000000000001110100110101011101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010101110110100101101011001011111111100000000000000001110000110111011101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010101110110100101101011001011111111100000000000000001110100110111011101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011101110110100101101011001011111111100000000000000001110000110100111101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011101110110100101101011001011111111100000000000000001110100110100111101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010011110110100101101011001011111111100000000000000001110000110110111101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010011110110100101101011001011111111100000000000000001110100110110111101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011011110110100101101011001011111111100000000000000001110000110101111101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011011110110100101101011001011111111100000000000000001110100110101111101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010111110110100101101011001011111111100000000000000001110000110111111101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010111110110100101101011001011111111100000000000000001110100110111111101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011111110110100101101011001011111111100000000000000001110000110100000011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011111110110100101101011001011111111100000000000000001110100110100000011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010000001110100101101011001011111111100000000000000001110000110110000011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010000001110100101101011001011111111100000000000000001110100110110000011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011000001110100101101011001011111111100000000000000001110000110101000011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011000001110100101101011001011111111100000000000000001110100110101000011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010100001110100101101011001011111111100000000000000001110000110111000011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010100001110100101101011001011111111100000000000000001110100110111000011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011100001110100101101011001011111111100000000000000001110000110100100011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011100001110100101101011001011111111100000000000000001110100110100100011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010010001110100101101011001011111111100000000000000001110000110110100011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010010001110100101101011001011111111100000000000000001110100110110100011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011010001110100101101011001011111111100000000000000001110000110101100011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011010001110100101101011001011111111100000000000000001110100110101100011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010110001110100101101011001011111111100000000000000001110000110111100011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010110001110100101101011001011111111100000000000000001110100110111100011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011110001110100101101011001011111111100000000000000001110000110100010011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011110001110100101101011001011111111100000000000000001110100110100010011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010001001110100101101011001011111111100000000000000001110000110110010011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010001001110100101101011001011111111100000000000000001110100110110010011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011001001110100101101011001011111111100000000000000001110000110101010011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011001001110100101101011001011111111100000000000000001110100110101010011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010101001110100101101011001011111111100000000000000001110000110111010011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010101001110100101101011001011111111100000000000000001110100110111010011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011101001110100101101011001011111111100000000000000001110000110100110011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011101001110100101101011001011111111100000000000000001110100110100110011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010011001110100101101011001011111111100000000000000001110000110110110011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010011001110100101101011001011111111100000000000000001110100110110110011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011011001110100101101011001011111111100000000000000001110000110101110011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011011001110100101101011001011111111100000000000000001110100110101110011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010111001110100101101011001011111111100000000000000001110000110111110011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010111001110100101101011001011111111100000000000000001110100110111110011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011111001110100101101011001011111111100000000000000001110000110100001011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011111001110100101101011001011111111100000000000000001110100110100001011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010000101110100101101011001011111111100000000000000001110000110110001011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010000101110100101101011001011111111100000000000000001110100110110001011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011000101110100101101011001011111111100000000000000001110000110101001011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011000101110100101101011001011111111100000000000000001110100110101001011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010100101110100101101011001011111111100000000000000001110000110111001011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010100101110100101101011001011111111100000000000000001110100110111001011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011100101110100101101011001011111111100000000000000001110000110100101011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011100101110100101101011001011111111100000000000000001110100110100101011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010010101110100101101011001011111111100000000000000001110000110110101011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010010101110100101101011001011111111100000000000000001110100110110101011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011010101110100101101011001011111111100000000000000001110000110101101011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011010101110100101101011001011111111100000000000000001110100110101101011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010110101110100101101011001011111111100000000000000001110000110111101011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010110101110100101101011001011111111100000000000000001110100110111101011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011110101110100101101011001011111111100000000000000001110000110100011011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011110101110100101101011001011111111100000000000000001110100110100011011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010001101110100101101011001011111111100000000000000001110000110110011011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010001101110100101101011001011111111100000000000000001110100110110011011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011001101110100101101011001011111111100000000000000001110000110101011011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011001101110100101101011001011111111100000000000000001110100110101011011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010101101110100101101011001011111111100000000000000001110000110111011011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010101101110100101101011001011111111100000000000000001110100110111011011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011101101110100101101011001011111111100000000000000001110000110100111011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011101101110100101101011001011111111100000000000000001110100110100111011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010011101110100101101011001011111111100000000000000001110000110110111011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010011101110100101101011001011111111100000000000000001110100110110111011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011011101110100101101011001011111111100000000000000001110000110101111011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011011101110100101101011001011111111100000000000000001110100110101111011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010111101110100101101011001011111111100000000000000001110000110111111011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010111101110100101101011001011111111100000000000000001110100110111111011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011111101110100101101011001011111111100000000000000001110000110100000111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011111101110100101101011001011111111100000000000000001110100110100000111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010000011110100101101011001011111111100000000000000001110000110110000111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010000011110100101101011001011111111100000000000000001110100110110000111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011000011110100101101011001011111111100000000000000001110000110101000111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011000011110100101101011001011111111100000000000000001110100110101000111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010100011110100101101011001011111111100000000000000001110000110111000111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010100011110100101101011001011111111100000000000000001110100110111000111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011100011110100101101011001011111111100000000000000001110000110100100111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011100011110100101101011001011111111100000000000000001110100110100100111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010010011110100101101011001011111111100000000000000001110000110110100111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010010011110100101101011001011111111100000000000000001110100110110100111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011010011110100101101011001011111111100000000000000001110000110101100111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011010011110100101101011001011111111100000000000000001110100110101100111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010110011110100101101011001011111111100000000000000001110000110111100111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010110011110100101101011001011111111100000000000000001110100110111100111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011110011110100101101011001011111111100000000000000001110000110100010111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011110011110100101101011001011111111100000000000000001110100110100010111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010001011110100101101011001011111111100000000000000001110000110110010111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010001011110100101101011001011111111100000000000000001110100110110010111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011001011110100101101011001011111111100000000000000001110000110101010111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011001011110100101101011001011111111100000000000000001110100110101010111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010101011110100101101011001011111111100000000000000001110000110111010111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010101011110100101101011001011111111100000000000000001110100110111010111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011101011110100101101011001011111111100000000000000001110000110100110111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011101011110100101101011001011111111100000000000000001110100110100110111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010011011110100101101011001011111111100000000000000001110000110110110111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010011011110100101101011001011111111100000000000000001110100110110110111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011011011110100101101011001011111111100000000000000001110000110101110111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011011011110100101101011001011111111100000000000000001110100110101110111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010111011110100101101011001011111111100000000000000001110000110111110111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010111011110100101101011001011111111100000000000000001110100110111110111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011111011110100101101011001011111111100000000000000001110000110100001111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011111011110100101101011001011111111100000000000000001110100110100001111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010000111110100101101011001011111111100000000000000001110000110110001111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010000111110100101101011001011111111100000000000000001110100110110001111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011000111110100101101011001011111111100000000000000001110000110101001111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011000111110100101101011001011111111100000000000000001110100110101001111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010100111110100101101011001011111111100000000000000001110000110111001111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010100111110100101101011001011111111100000000000000001110100110111001111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011100111110100101101011001011111111100000000000000001110000110100101111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011100111110100101101011001011111111100000000000000001110100110100101111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010010111110100101101011001011111111100000000000000001110000110110101111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010010111110100101101011001011111111100000000000000001110100110110101111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011010111110100101101011001011111111100000000000000001110000110101101111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011010111110100101101011001011111111100000000000000001110100110101101111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010110111110100101101011001011111111100000000000000001110000110111101111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010110111110100101101011001011111111100000000000000001110100110111101111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011110111110100101101011001011111111100000000000000001110000110100011111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011110111110100101101011001011111111100000000000000001110100110100011111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010001111110100101101011001011111111100000000000000001110000110110011111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010001111110100101101011001011111111100000000000000001110100110110011111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011001111110100101101011001011111111100000000000000001110000110101011111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011001111110100101101011001011111111100000000000000001110100110101011111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010101111110100101101011001011111111100000000000000001110000110111011111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010101111110100101101011001011111111100000000000000001110100110111011111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011101111110100101101011001011111111100000000000000001110000110100111111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011101111110100101101011001011111111100000000000000001110100110100111111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010011111110100101101011001011111111100000000000000001110000110110111111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010011111110100101101011001011111111100000000000000001110100110110111111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011011111110100101101011001011111111100000000000000001110000110101111111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011011111110100101101011001011111111100000000000000001110100110101111111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010111111110100101101011001011111111100000000000000001110000110111111111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010111111110100101101011001011111111100000000000000001110100110111111111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011111111110100101101011001011111111100000000000000001110010110100000000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011111111110100101101011001011111111100000000000000001110110110100000000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010000000000100101101011001011111111100000000000000001110010110110000000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010000000000100101101011001011111111100000000000000001110110110110000000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011000000000100101101011001011111111100000000000000001110010110101000000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011000000000100101101011001011111111100000000000000001110110110101000000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010100000000100101101011001011111111100000000000000001110010110111000000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010100000000100101101011001011111111100000000000000001110110110111000000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011100000000100101101011001011111111100000000000000001110010110100100000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011100000000100101101011001011111111100000000000000001110110110100100000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010010000000100101101011001011111111100000000000000001110010110110100000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010010000000100101101011001011111111100000000000000001110110110110100000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011010000000100101101011001011111111100000000000000001110010110101100000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011010000000100101101011001011111111100000000000000001110110110101100000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010110000000100101101011001011111111100000000000000001110010110111100000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010110000000100101101011001011111111100000000000000001110110110111100000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011110000000100101101011001011111111100000000000000001110010110100010000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011110000000100101101011001011111111100000000000000001110110110100010000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010001000000100101101011001011111111100000000000000001110010110110010000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010001000000100101101011001011111111100000000000000001110110110110010000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011001000000100101101011001011111111100000000000000001110010110101010000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011001000000100101101011001011111111100000000000000001110110110101010000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010101000000100101101011001011111111100000000000000001110010110111010000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010101000000100101101011001011111111100000000000000001110110110111010000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011101000000100101101011001011111111100000000000000001110010110100110000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011101000000100101101011001011111111100000000000000001110110110100110000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010011000000100101101011001011111111100000000000000001110010110110110000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010011000000100101101011001011111111100000000000000001110110110110110000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011011000000100101101011001011111111100000000000000001110010110101110000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011011000000100101101011001011111111100000000000000001110110110101110000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010111000000100101101011001011111111100000000000000001110010110111110000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010111000000100101101011001011111111100000000000000001110110110111110000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011111000000100101101011001011111111100000000000000001110010110100001000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011111000000100101101011001011111111100000000000000001110110110100001000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010000100000100101101011001011111111100000000000000001110010110110001000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010000100000100101101011001011111111100000000000000001110110110110001000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011000100000100101101011001011111111100000000000000001110010110101001000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011000100000100101101011001011111111100000000000000001110110110101001000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010100100000100101101011001011111111100000000000000001110010110111001000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010100100000100101101011001011111111100000000000000001110110110111001000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011100100000100101101011001011111111100000000000000001110010110100101000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011100100000100101101011001011111111100000000000000001110110110100101000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010010100000100101101011001011111111100000000000000001110010110110101000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010010100000100101101011001011111111100000000000000001110110110110101000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011010100000100101101011001011111111100000000000000001110010110101101000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011010100000100101101011001011111111100000000000000001110110110101101000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010110100000100101101011001011111111100000000000000001110010110111101000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010110100000100101101011001011111111100000000000000001110110110111101000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011110100000100101101011001011111111100000000000000001110010110100011000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011110100000100101101011001011111111100000000000000001110110110100011000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010001100000100101101011001011111111100000000000000001110010110110011000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010001100000100101101011001011111111100000000000000001110110110110011000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011001100000100101101011001011111111100000000000000001110010110101011000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011001100000100101101011001011111111100000000000000001110110110101011000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010101100000100101101011001011111111100000000000000001110010110111011000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010101100000100101101011001011111111100000000000000001110110110111011000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011101100000100101101011001011111111100000000000000001110010110100111000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011101100000100101101011001011111111100000000000000001110110110100111000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010011100000100101101011001011111111100000000000000001110010110110111000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010011100000100101101011001011111111100000000000000001110110110110111000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011011100000100101101011001011111111100000000000000001110010110101111000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011011100000100101101011001011111111100000000000000001110110110101111000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010111100000100101101011001011111111100000000000000001110010110111111000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010111100000100101101011001011111111100000000000000001110110110111111000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011111100000100101101011001011111111100000000000000001110010110100000100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011111100000100101101011001011111111100000000000000001110110110100000100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010000010000100101101011001011111111100000000000000001110010110110000100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010000010000100101101011001011111111100000000000000001110110110110000100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011000010000100101101011001011111111100000000000000001110010110101000100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011000010000100101101011001011111111100000000000000001110110110101000100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010100010000100101101011001011111111100000000000000001110010110111000100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010100010000100101101011001011111111100000000000000001110110110111000100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011100010000100101101011001011111111100000000000000001110010110100100100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011100010000100101101011001011111111100000000000000001110110110100100100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010010010000100101101011001011111111100000000000000001110010110110100100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010010010000100101101011001011111111100000000000000001110110110110100100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011010010000100101101011001011111111100000000000000001110010110101100100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011010010000100101101011001011111111100000000000000001110110110101100100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010110010000100101101011001011111111100000000000000001110010110111100100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010110010000100101101011001011111111100000000000000001110110110111100100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011110010000100101101011001011111111100000000000000001110010110100010100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011110010000100101101011001011111111100000000000000001110110110100010100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010001010000100101101011001011111111100000000000000001110010110110010100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010001010000100101101011001011111111100000000000000001110110110110010100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011001010000100101101011001011111111100000000000000001110010110101010100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011001010000100101101011001011111111100000000000000001110110110101010100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010101010000100101101011001011111111100000000000000001110010110111010100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010101010000100101101011001011111111100000000000000001110110110111010100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011101010000100101101011001011111111100000000000000001110010110100110100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011101010000100101101011001011111111100000000000000001110110110100110100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010011010000100101101011001011111111100000000000000001110010110110110100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010011010000100101101011001011111111100000000000000001110110110110110100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011011010000100101101011001011111111100000000000000001110010110101110100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011011010000100101101011001011111111100000000000000001110110110101110100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010111010000100101101011001011111111100000000000000001110010110111110100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010111010000100101101011001011111111100000000000000001110110110111110100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011111010000100101101011001011111111100000000000000001110010110100001100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011111010000100101101011001011111111100000000000000001110110110100001100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010000110000100101101011001011111111100000000000000001110010110110001100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010000110000100101101011001011111111100000000000000001110110110110001100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011000110000100101101011001011111111100000000000000001110010110101001100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011000110000100101101011001011111111100000000000000001110110110101001100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010100110000100101101011001011111111100000000000000001110010110111001100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010100110000100101101011001011111111100000000000000001110110110111001100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011100110000100101101011001011111111100000000000000001110010110100101100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011100110000100101101011001011111111100000000000000001110110110100101100000000110110000011000000000010111111110101101010001101000111101010010110101100110110000011000000000010101011010010110000100101101011001011111111100000000000000001110010110110101100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010010110000100101101011001011111111100000000000000001110100110110101101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011010110000100101101011001011111111100000000000000001110000110101101101101010110110000011000000000010111111110100101010001101000111101010010110101100100110000011000000000010101011011010110000100101101011001111111111100000000000000001110000110101101101101010110110000011000000000010111111110100101010001101000111101010010110101100100110000011000000000010101011011010110000100101101011001111111111100110011000001001110000110101101101100010110110000011000000000010111111110100101010001101000111101010010110101100100110000011000000000010101011011010110000100101101011001111111111100000000000000001110000110101101101101010110110000011000000000010111111110110101010001101000111101010010110101100100110000011000000000010101011011010110000100101101011001111111111100000000000000001110000110101101101101010110110000011000000000010111111110110101010001101000111101010010110101100100110000011000000000010101011011010110000100101101011001111111111100000000000000001110000110101101101101010110110000011000000000010111111110110101010001101000111101010010110101100100110000011000000000010101011011010110000100101101011001111111111100000000000000000110000110101101101101010110110000011000000000010111111110100101010001101000111101010010110101100100110000011000000000010101011011010110000100101101011001111111111100000000000000001110000110101101101101010110110000011000000000010111111110100101010001101000111101010010110101100100110000011000000000010101011011010110000100101101011001111111111100000000000000001110000110101101101101010110110000011000000000010111111110100101010001101000111101010010110101100100110000011000000000010101011011010110000100101101011001111111111100000000000000001110000110101101101101010110110000011000000000010111111110100101010001101000111101010010110101100100110000011000000000010101011011010110000100101101011001111111111100000000000000001110100110110101101101010110110000011000000000010111111110100101010001101000111101010010110101100100110000011000000000010101011011010110000100101101011001111111111100000000000000001110000110101101101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011010110110100101101011001011111111100000000000000001110100110101101101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010110110110100101101011001011111111100000000000000001110000110111101101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010110110110100101101011001011111111100000000000000001110100110111101101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011110110110100101101011001011111111100000000000000001110000110100011101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011110110110100101101011001011111111100000000000000001110100110100011101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010001110110100101101011001011111111100000000000000001110000110110011101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010001110110100101101011001011111111100000000000000001110100110110011101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011001110110100101101011001011111111100000000000000001110000110101011101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011001110110100101101011001011111111100000000000000001110100110101011101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010101110110100101101011001011111111100000000000000001110000110111011101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010101110110100101101011001011111111100000000000000001110100110111011101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011101110110100101101011001011111111100000000000000001110000110100111101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011101110110100101101011001011111111100000000000000001110100110100111101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010011110110100101101011001011111111100000000000000001110000110110111101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010011110110100101101011001011111111100000000000000001110100110110111101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011011110110100101101011001011111111100000000000000001110000110101111101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011011110110100101101011001011111111100000000000000001110100110101111101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010111110110100101101011001011111111100000000000000001110000110111111101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010111110110100101101011001011111111100000000000000001110100110111111101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011111110110100101101011001011111111100000000000000001110000110100000011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011111110110100101101011001011111111100000000000000001110100110100000011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010000001110100101101011001011111111100000000000000001110000110110000011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010000001110100101101011001011111111100000000000000001110100110110000011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011000001110100101101011001011111111100000000000000001110000110101000011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011000001110100101101011001011111111100000000000000001110100110101000011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010100001110100101101011001011111111100000000000000001110000110111000011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010100001110100101101011001011111111100000000000000001110100110111000011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011100001110100101101011001011111111100000000000000001110000110100100011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011100001110100101101011001011111111100000000000000001110100110100100011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010010001110100101101011001011111111100000000000000001110000110110100011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010010001110100101101011001011111111100000000000000001110100110110100011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011010001110100101101011001011111111100000000000000001110000110101100011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011010001110100101101011001011111111100000000000000001110100110101100011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010110001110100101101011001011111111100000000000000001110000110111100011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010110001110100101101011001011111111100000000000000001110100110111100011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011110001110100101101011001011111111100000000000000001110000110100010011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011110001110100101101011001011111111100000000000000001110100110100010011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010001001110100101101011001011111111100000000000000001110000110110010011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010001001110100101101011001011111111100000000000000001110100110110010011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011001001110100101101011001011111111100000000000000001110000110101010011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011001001110100101101011001011111111100000000000000001110100110101010011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010101001110100101101011001011111111100000000000000001110000110111010011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010101001110100101101011001011111111100000000000000001110100110111010011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011101001110100101101011001011111111100000000000000001110000110100110011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011101001110100101101011001011111111100000000000000001110100110100110011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010011001110100101101011001011111111100000000000000001110000110110110011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010011001110100101101011001011111111100000000000000001110100110110110011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011011001110100101101011001011111111100000000000000001110000110101110011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011011001110100101101011001011111111100000000000000001110100110101110011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010111001110100101101011001011111111100000000000000001110000110111110011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010111001110100101101011001011111111100000000000000001110100110111110011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011111001110100101101011001011111111100000000000000001110000110100001011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011111001110100101101011001011111111100000000000000001110100110100001011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010000101110100101101011001011111111100000000000000001110000110110001011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010000101110100101101011001011111111100000000000000001110100110110001011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011000101110100101101011001011111111100000000000000001110000110101001011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011000101110100101101011001011111111100000000000000001110100110101001011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010100101110100101101011001011111111100000000000000001110000110111001011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010100101110100101101011001011111111100000000000000001110100110111001011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011100101110100101101011001011111111100000000000000001110000110100101011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011100101110100101101011001011111111100000000000000001110100110100101011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010010101110100101101011001011111111100000000000000001110000110110101011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010010101110100101101011001011111111100000000000000001110100110110101011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011010101110100101101011001011111111100000000000000001110000110101101011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011010101110100101101011001011111111100000000000000001110100110101101011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010110101110100101101011001011111111100000000000000001110000110111101011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010110101110100101101011001011111111100000000000000001110100110111101011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011110101110100101101011001011111111100000000000000001110000110100011011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011110101110100101101011001011111111100000000000000001110100110100011011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010001101110100101101011001011111111100000000000000001110000110110011011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010001101110100101101011001011111111100000000000000001110100110110011011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011001101110100101101011001011111111100000000000000001110000110101011011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011001101110100101101011001011111111100000000000000001110100110101011011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010101101110100101101011001011111111100000000000000001110000110111011011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010101101110100101101011001011111111100000000000000001110100110111011011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011101101110100101101011001011111111100000000000000001110000110100111011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011101101110100101101011001011111111100000000000000001110100110100111011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010011101110100101101011001011111111100000000000000001110000110110111011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010011101110100101101011001011111111100000000000000001110100110110111011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011011101110100101101011001011111111100000000000000001110000110101111011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011011101110100101101011001011111111100000000000000001110100110101111011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010111101110100101101011001011111111100000000000000001110000110111111011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010111101110100101101011001011111111100000000000000001110100110111111011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011111101110100101101011001011111111100000000000000001110000110100000111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011111101110100101101011001011111111100000000000000001110100110100000111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010000011110100101101011001011111111100000000000000001110000110110000111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010000011110100101101011001011111111100000000000000001110100110110000111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011000011110100101101011001011111111100000000000000001110000110101000111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011000011110100101101011001011111111100000000000000001110100110101000111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010100011110100101101011001011111111100000000000000001110000110111000111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010100011110100101101011001011111111100000000000000001110100110111000111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011100011110100101101011001011111111100000000000000001110000110100100111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011100011110100101101011001011111111100000000000000001110100110100100111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010010011110100101101011001011111111100000000000000001110000110110100111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010010011110100101101011001011111111100000000000000001110100110110100111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011010011110100101101011001011111111100000000000000001110000110101100111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011010011110100101101011001011111111100000000000000001110100110101100111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010110011110100101101011001011111111100000000000000001110000110111100111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010110011110100101101011001011111111100000000000000001110100110111100111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011110011110100101101011001011111111100000000000000001110000110100010111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011110011110100101101011001011111111100000000000000001110100110100010111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010001011110100101101011001011111111100000000000000001110000110110010111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010001011110100101101011001011111111100000000000000001110100110110010111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011001011110100101101011001011111111100000000000000001110000110101010111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011001011110100101101011001011111111100000000000000001110100110101010111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010101011110100101101011001011111111100000000000000001110000110111010111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010101011110100101101011001011111111100000000000000001110100110111010111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011101011110100101101011001011111111100000000000000001110000110100110111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011101011110100101101011001011111111100000000000000001110100110100110111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010011011110100101101011001011111111100000000000000001110000110110110111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010011011110100101101011001011111111100000000000000001110100110110110111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011011011110100101101011001011111111100000000000000001110000110101110111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011011011110100101101011001011111111100000000000000001110100110101110111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010111011110100101101011001011111111100000000000000001110000110111110111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010111011110100101101011001011111111100000000000000001110100110111110111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011111011110100101101011001011111111100000000000000001110000110100001111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011111011110100101101011001011111111100000000000000001110100110100001111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010000111110100101101011001011111111100000000000000001110000110110001111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010000111110100101101011001011111111100000000000000001110100110110001111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011000111110100101101011001011111111100000000000000001110000110101001111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011000111110100101101011001011111111100000000000000001110100110101001111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010100111110100101101011001011111111100000000000000001110000110111001111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010100111110100101101011001011111111100000000000000001110100110111001111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011100111110100101101011001011111111100000000000000001110000110100101111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011100111110100101101011001011111111100000000000000001110100110100101111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010010111110100101101011001011111111100000000000000001110000110110101111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010010111110100101101011001011111111100000000000000001110100110110101111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011010111110100101101011001011111111100000000000000001110000110101101111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011010111110100101101011001011111111100000000000000001110100110101101111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010110111110100101101011001011111111100000000000000001110000110111101111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010110111110100101101011001011111111100000000000000001110100110111101111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011110111110100101101011001011111111100000000000000001110000110100011111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011110111110100101101011001011111111100000000000000001110100110100011111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010001111110100101101011001011111111100000000000000001110000110110011111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010001111110100101101011001011111111100000000000000001110100110110011111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011001111110100101101011001011111111100000000000000001110000110101011111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011001111110100101101011001011111111100000000000000001110100110101011111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010101111110100101101011001011111111100000000000000001110000110111011111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010101111110100101101011001011111111100000000000000001110100110111011111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011101111110100101101011001011111111100000000000000001110000110100111111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011101111110100101101011001011111111100000000000000001110100110100111111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010011111110100101101011001011111111100000000000000001110000110110111111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010011111110100101101011001011111111100000000000000001110100110110111111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011011111110100101101011001011111111100000000000000001110000110101111111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011011111110100101101011001011111111100000000000000001110100110101111111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010111111110100101101011001011111111100000000000000001110000110111111111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010111111110100101101011001011111111100000000000000001110100110111111111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011111111110100101101011001011111111100000000000000001110010110100000000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011111111110100101101011001011111111100000000000000001110110110100000000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010000000000100101101011001011111111100000000000000001110010110110000000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010000000000100101101011001011111111100000000000000001110110110110000000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011000000000100101101011001011111111100000000000000001110010110101000000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011000000000100101101011001011111111100000000000000001110110110101000000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010100000000100101101011001011111111100000000000000001110010110111000000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010100000000100101101011001011111111100000000000000001110110110111000000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011100000000100101101011001011111111100000000000000001110010110100100000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011100000000100101101011001011111111100000000000000001110110110100100000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010010000000100101101011001011111111100000000000000001110010110110100000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010010000000100101101011001011111111100000000000000001110110110110100000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011010000000100101101011001011111111100000000000000001110010110101100000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011010000000100101101011001011111111100000000000000001110110110101100000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010110000000100101101011001011111111100000000000000001110010110111100000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010110000000100101101011001011111111100000000000000001110110110111100000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011110000000100101101011001011111111100000000000000001110010110100010000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011110000000100101101011001011111111100000000000000001110110110100010000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010001000000100101101011001011111111100000000000000001110010110110010000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010001000000100101101011001011111111100000000000000001110110110110010000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011001000000100101101011001011111111100000000000000001110010110101010000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011001000000100101101011001011111111100000000000000001110110110101010000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010101000000100101101011001011111111100000000000000001110010110111010000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010101000000100101101011001011111111100000000000000001110110110111010000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011101000000100101101011001011111111100000000000000001110010110100110000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011101000000100101101011001011111111100000000000000001110110110100110000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010011000000100101101011001011111111100000000000000001110010110110110000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010011000000100101101011001011111111100000000000000001110110110110110000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011011000000100101101011001011111111100000000000000001110010110101110000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011011000000100101101011001011111111100000000000000001110110110101110000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010111000000100101101011001011111111100000000000000001110010110111110000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010111000000100101101011001011111111100000000000000001110110110111110000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011111000000100101101011001011111111100000000000000001110010110100001000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011111000000100101101011001011111111100000000000000001110110110100001000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010000100000100101101011001011111111100000000000000001110010110110001000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010000100000100101101011001011111111100000000000000001110110110110001000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011000100000100101101011001011111111100000000000000001110010110101001000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011000100000100101101011001011111111100000000000000001110110110101001000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010100100000100101101011001011111111100000000000000001110010110111001000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010100100000100101101011001011111111100000000000000001110110110111001000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011100100000100101101011001011111111100000000000000001110010110100101000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011100100000100101101011001011111111100000000000000001110110110100101000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010010100000100101101011001011111111100000000000000001110010110110101000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010010100000100101101011001011111111100000000000000001110110110110101000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011010100000100101101011001011111111100000000000000001110010110101101000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011010100000100101101011001011111111100000000000000001110110110101101000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010110100000100101101011001011111111100000000000000001110010110111101000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010110100000100101101011001011111111100000000000000001110110110111101000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011110100000100101101011001011111111100000000000000001110010110100011000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011110100000100101101011001011111111100000000000000001110110110100011000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010001100000100101101011001011111111100000000000000001110010110110011000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010001100000100101101011001011111111100000000000000001110110110110011000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011001100000100101101011001011111111100000000000000001110010110101011000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011001100000100101101011001011111111100000000000000001110110110101011000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010101100000100101101011001011111111100000000000000001110010110111011000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010101100000100101101011001011111111100000000000000001110110110111011000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011101100000100101101011001011111111100000000000000001110010110100111000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011101100000100101101011001011111111100000000000000001110110110100111000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010011100000100101101011001011111111100000000000000001110010110110111000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010011100000100101101011001011111111100000000000000001110110110110111000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011011100000100101101011001011111111100000000000000001110010110101111000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011011100000100101101011001011111111100000000000000001110110110101111000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010111100000100101101011001011111111100000000000000001110010110111111000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010111100000100101101011001011111111100000000000000001110110110111111000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011111100000100101101011001011111111100000000000000001110010110100000100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011111100000100101101011001011111111100000000000000001110110110100000100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010000010000100101101011001011111111100000000000000001110010110110000100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010000010000100101101011001011111111100000000000000001110110110110000100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011000010000100101101011001011111111100000000000000001110010110101000100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011000010000100101101011001011111111100000000000000001110110110101000100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010100010000100101101011001011111111100000000000000001110010110111000100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010100010000100101101011001011111111100000000000000001110110110111000100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011100010000100101101011001011111111100000000000000001110010110100100100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011100010000100101101011001011111111100000000000000001110110110100100100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010010010000100101101011001011111111100000000000000001110010110110100100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010010010000100101101011001011111111100000000000000001110110110110100100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011010010000100101101011001011111111100000000000000001110010110101100100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011010010000100101101011001011111111100000000000000001110110110101100100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010110010000100101101011001011111111100000000000000001110010110111100100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010110010000100101101011001011111111100000000000000001110110110111100100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011110010000100101101011001011111111100000000000000001110010110100010100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011110010000100101101011001011111111100000000000000001110110110100010100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010001010000100101101011001011111111100000000000000001110010110110010100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010001010000100101101011001011111111100000000000000001110110110110010100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011001010000100101101011001011111111100000000000000001110010110101010100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011001010000100101101011001011111111100000000000000001110110110101010100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010101010000100101101011001011111111100000000000000001110010110111010100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010101010000100101101011001011111111100000000000000001110110110111010100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011101010000100101101011001011111111100000000000000001110010110100110100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011101010000100101101011001011111111100000000000000001110110110100110100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010011010000100101101011001011111111100000000000000001110010110110110100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010011010000100101101011001011111111100000000000000001110110110110110100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011011010000100101101011001011111111100000000000000001110010110101110100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011011010000100101101011001011111111100000000000000001110110110101110100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010111010000100101101011001011111111100000000000000001110010110111110100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010111010000100101101011001011111111100000000000000001110110110111110100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011111010000100101101011001011111111100000000000000001110010110100001100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011111010000100101101011001011111111100000000000000001110110110100001100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010000110000100101101011001011111111100000000000000001110010110110001100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010000110000100101101011001011111111100000000000000001110110110110001100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011000110000100101101011001011111111100000000000000001110010110101001100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011000110000100101101011001011111111100000000000000001110110110101001100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010100110000100101101011001011111111100000000000000001110010110111001100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010100110000100101101011001011111111100000000000000001110110110111001100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011100110000100101101011001011111111100000000000000001110010110100101100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011100110000100101101011001011111111100000000000000001110110110100101100000000110110000011000000000010111111110101101010001101000111101010010110101100110110000011000000000010101011010010110000100101101011001011111111100000000000000001110010110110101100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010010110000100101101011001011111111100000000000000001110100110110101101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011010110000100101101011001011111111100000000000000001110000110101101101101010110110000011000000000010111111110100101010001101000111101010010110101100100110000011000000000010101011011010110000100101101011001111111111100000000000000001110000110101101101101010110110000011000000000010111111110100101010001101000111101010010110101100100110000011000000000010101011011010110000100101101011001111111111100110011000001001110000110101101101100010110110000011000000000010111111110100101010001101000111101010010110101100100110000011000000000010101011011010110000100101101011001111111111100000000000000001110000110101101101101010110110000011000000000010111111110110101010001101000111101010010110101100100110000011000000000010101011011010110000100101101011001111111111100000000000000001110000110101101101101010110110000011000000000010111111110110101010001101000111101010010110101100100110000011000000000010101011011010110000100101101011001111111111100000000000000001110000110101101101101010110110000011000000000010111111110110101010001101000111101010010110101100100110000011000000000010101011011010110000100101101011001111111111100000000000000000110000110101101101101010110110000011000000000010111111110100101010001101000111101010010110101100100110000011000000000010101011011010110000100101101011001111111111100000000000000001110000110101101101101010110110000011000000000010111111110100101010001101000111101010010110101100100110000011000000000010101011011010110000100101101011001111111111100000000000000001110000110101101101101010110110000011000000000010111111110100101010001101000111101010010110101100100110000011000000000010101011011010110000100101101011001111111111100000000000000001110000110101101101101010110110000011000000000010111111110100101010001101000111101010010110101100100110000011000000000010101011011010110000100101101011001111111111100000000000000001110100110110101101101010110110000011000000000010111111110100101010001101000111101010010110101100100110000011000000000010101011011010110000100101101011001111111111100000000000000001110000110101101101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011010110110100101101011001011111111100000000000000001110100110101101101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010110110110100101101011001011111111100000000000000001110000110111101101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010110110110100101101011001011111111100000000000000001110100110111101101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011110110110100101101011001011111111100000000000000001110000110100011101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011110110110100101101011001011111111100000000000000001110100110100011101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010001110110100101101011001011111111100000000000000001110000110110011101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010001110110100101101011001011111111100000000000000001110100110110011101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011001110110100101101011001011111111100000000000000001110000110101011101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011001110110100101101011001011111111100000000000000001110100110101011101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010101110110100101101011001011111111100000000000000001110000110111011101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010101110110100101101011001011111111100000000000000001110100110111011101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011101110110100101101011001011111111100000000000000001110000110100111101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011101110110100101101011001011111111100000000000000001110100110100111101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010011110110100101101011001011111111100000000000000001110000110110111101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010011110110100101101011001011111111100000000000000001110100110110111101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011011110110100101101011001011111111100000000000000001110000110101111101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011011110110100101101011001011111111100000000000000001110100110101111101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010111110110100101101011001011111111100000000000000001110000110111111101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010111110110100101101011001011111111100000000000000001110100110111111101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011111110110100101101011001011111111100000000000000001110000110100000011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011111110110100101101011001011111111100000000000000001110100110100000011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010000001110100101101011001011111111100000000000000001110000110110000011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010000001110100101101011001011111111100000000000000001110100110110000011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011000001110100101101011001011111111100000000000000001110000110101000011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011000001110100101101011001011111111100000000000000001110100110101000011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010100001110100101101011001011111111100000000000000001110000110111000011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010100001110100101101011001011111111100000000000000001110100110111000011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011100001110100101101011001011111111100000000000000001110000110100100011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011100001110100101101011001011111111100000000000000001110100110100100011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010010001110100101101011001011111111100000000000000001110000110110100011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010010001110100101101011001011111111100000000000000001110100110110100011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011010001110100101101011001011111111100000000000000001110000110101100011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011010001110100101101011001011111111100000000000000001110100110101100011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010110001110100101101011001011111111100000000000000001110000110111100011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010110001110100101101011001011111111100000000000000001110100110111100011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011110001110100101101011001011111111100000000000000001110000110100010011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011110001110100101101011001011111111100000000000000001110100110100010011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010001001110100101101011001011111111100000000000000001110000110110010011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010001001110100101101011001011111111100000000000000001110100110110010011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011001001110100101101011001011111111100000000000000001110000110101010011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011001001110100101101011001011111111100000000000000001110100110101010011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010101001110100101101011001011111111100000000000000001110000110111010011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010101001110100101101011001011111111100000000000000001110100110111010011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011101001110100101101011001011111111100000000000000001110000110100110011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011101001110100101101011001011111111100000000000000001110100110100110011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010011001110100101101011001011111111100000000000000001110000110110110011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010011001110100101101011001011111111100000000000000001110100110110110011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011011001110100101101011001011111111100000000000000001110000110101110011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011011001110100101101011001011111111100000000000000001110100110101110011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010111001110100101101011001011111111100000000000000001110000110111110011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010111001110100101101011001011111111100000000000000001110100110111110011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011111001110100101101011001011111111100000000000000001110000110100001011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011111001110100101101011001011111111100000000000000001110100110100001011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010000101110100101101011001011111111100000000000000001110000110110001011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010000101110100101101011001011111111100000000000000001110100110110001011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011000101110100101101011001011111111100000000000000001110000110101001011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011000101110100101101011001011111111100000000000000001110100110101001011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010100101110100101101011001011111111100000000000000001110000110111001011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010100101110100101101011001011111111100000000000000001110100110111001011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011100101110100101101011001011111111100000000000000001110000110100101011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011100101110100101101011001011111111100000000000000001110100110100101011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010010101110100101101011001011111111100000000000000001110000110110101011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010010101110100101101011001011111111100000000000000001110100110110101011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011010101110100101101011001011111111100000000000000001110000110101101011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011010101110100101101011001011111111100000000000000001110100110101101011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010110101110100101101011001011111111100000000000000001110000110111101011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010110101110100101101011001011111111100000000000000001110100110111101011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011110101110100101101011001011111111100000000000000001110000110100011011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011110101110100101101011001011111111100000000000000001110100110100011011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010001101110100101101011001011111111100000000000000001110000110110011011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010001101110100101101011001011111111100000000000000001110100110110011011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011001101110100101101011001011111111100000000000000001110000110101011011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011001101110100101101011001011111111100000000000000001110100110101011011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010101101110100101101011001011111111100000000000000001110000110111011011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010101101110100101101011001011111111100000000000000001110100110111011011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011101101110100101101011001011111111100000000000000001110000110100111011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011101101110100101101011001011111111100000000000000001110100110100111011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010011101110100101101011001011111111100000000000000001110000110110111011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010011101110100101101011001011111111100000000000000001110100110110111011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011011101110100101101011001011111111100000000000000001110000110101111011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011011101110100101101011001011111111100000000000000001110100110101111011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010111101110100101101011001011111111100000000000000001110000110111111011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010111101110100101101011001011111111100000000000000001110100110111111011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011111101110100101101011001011111111100000000000000001110000110100000111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011111101110100101101011001011111111100000000000000001110100110100000111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010000011110100101101011001011111111100000000000000001110000110110000111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010000011110100101101011001011111111100000000000000001110100110110000111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011000011110100101101011001011111111100000000000000001110000110101000111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011000011110100101101011001011111111100000000000000001110100110101000111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010100011110100101101011001011111111100000000000000001110000110111000111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010100011110100101101011001011111111100000000000000001110100110111000111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011100011110100101101011001011111111100000000000000001110000110100100111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011100011110100101101011001011111111100000000000000001110100110100100111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010010011110100101101011001011111111100000000000000001110000110110100111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010010011110100101101011001011111111100000000000000001110100110110100111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011010011110100101101011001011111111100000000000000001110000110101100111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011010011110100101101011001011111111100000000000000001110100110101100111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010110011110100101101011001011111111100000000000000001110000110111100111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010110011110100101101011001011111111100000000000000001110100110111100111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011110011110100101101011001011111111100000000000000001110000110100010111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011110011110100101101011001011111111100000000000000001110100110100010111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010001011110100101101011001011111111100000000000000001110000110110010111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010001011110100101101011001011111111100000000000000001110100110110010111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011001011110100101101011001011111111100000000000000001110000110101010111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011001011110100101101011001011111111100000000000000001110100110101010111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010101011110100101101011001011111111100000000000000001110000110111010111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010101011110100101101011001011111111100000000000000001110100110111010111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011101011110100101101011001011111111100000000000000001110000110100110111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011101011110100101101011001011111111100000000000000001110100110100110111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010011011110100101101011001011111111100000000000000001110000110110110111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010011011110100101101011001011111111100000000000000001110100110110110111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011011011110100101101011001011111111100000000000000001110000110101110111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011011011110100101101011001011111111100000000000000001110100110101110111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010111011110100101101011001011111111100000000000000001110000110111110111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010111011110100101101011001011111111100000000000000001110100110111110111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011111011110100101101011001011111111100000000000000001110000110100001111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011111011110100101101011001011111111100000000000000001110100110100001111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010000111110100101101011001011111111100000000000000001110000110110001111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010000111110100101101011001011111111100000000000000001110100110110001111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011000111110100101101011001011111111100000000000000001110000110101001111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011000111110100101101011001011111111100000000000000001110100110101001111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010100111110100101101011001011111111100000000000000001110000110111001111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010100111110100101101011001011111111100000000000000001110100110111001111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011100111110100101101011001011111111100000000000000001110000110100101111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011100111110100101101011001011111111100000000000000001110100110100101111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010010111110100101101011001011111111100000000000000001110000110110101111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010010111110100101101011001011111111100000000000000001110100110110101111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011010111110100101101011001011111111100000000000000001110000110101101111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011010111110100101101011001011111111100000000000000001110100110101101111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010110111110100101101011001011111111100000000000000001110000110111101111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010110111110100101101011001011111111100000000000000001110100110111101111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011110111110100101101011001011111111100000000000000001110000110100011111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011110111110100101101011001011111111100000000000000001110100110100011111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010001111110100101101011001011111111100000000000000001110000110110011111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010001111110100101101011001011111111100000000000000001110100110110011111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011001111110100101101011001011111111100000000000000001110000110101011111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011001111110100101101011001011111111100000000000000001110100110101011111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010101111110100101101011001011111111100000000000000001110000110111011111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010101111110100101101011001011111111100000000000000001110100110111011111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011101111110100101101011001011111111100000000000000001110000110100111111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011101111110100101101011001011111111100000000000000001110100110100111111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010011111110100101101011001011111111100000000000000001110000110110111111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010011111110100101101011001011111111100000000000000001110100110110111111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011011111110100101101011001011111111100000000000000001110000110101111111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011011111110100101101011001011111111100000000000000001110100110101111111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010111111110100101101011001011111111100000000000000001110000110111111111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010111111110100101101011001011111111100000000000000001110100110111111111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011111111110100101101011001011111111100000000000000001110010110100000000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011111111110100101101011001011111111100000000000000001110110110100000000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010000000000100101101011001011111111100000000000000001110010110110000000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010000000000100101101011001011111111100000000000000001110110110110000000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011000000000100101101011001011111111100000000000000001110010110101000000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011000000000100101101011001011111111100000000000000001110110110101000000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010100000000100101101011001011111111100000000000000001110010110111000000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010100000000100101101011001011111111100000000000000001110110110111000000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011100000000100101101011001011111111100000000000000001110010110100100000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011100000000100101101011001011111111100000000000000001110110110100100000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010010000000100101101011001011111111100000000000000001110010110110100000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010010000000100101101011001011111111100000000000000001110110110110100000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011010000000100101101011001011111111100000000000000001110010110101100000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011010000000100101101011001011111111100000000000000001110110110101100000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010110000000100101101011001011111111100000000000000001110010110111100000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010110000000100101101011001011111111100000000000000001110110110111100000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011110000000100101101011001011111111100000000000000001110010110100010000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011110000000100101101011001011111111100000000000000001110110110100010000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010001000000100101101011001011111111100000000000000001110010110110010000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010001000000100101101011001011111111100000000000000001110110110110010000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011001000000100101101011001011111111100000000000000001110010110101010000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011001000000100101101011001011111111100000000000000001110110110101010000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010101000000100101101011001011111111100000000000000001110010110111010000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010101000000100101101011001011111111100000000000000001110110110111010000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011101000000100101101011001011111111100000000000000001110010110100110000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011101000000100101101011001011111111100000000000000001110110110100110000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010011000000100101101011001011111111100000000000000001110010110110110000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010011000000100101101011001011111111100000000000000001110110110110110000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011011000000100101101011001011111111100000000000000001110010110101110000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011011000000100101101011001011111111100000000000000001110110110101110000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010111000000100101101011001011111111100000000000000001110010110111110000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010111000000100101101011001011111111100000000000000001110110110111110000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011111000000100101101011001011111111100000000000000001110010110100001000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011111000000100101101011001011111111100000000000000001110110110100001000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010000100000100101101011001011111111100000000000000001110010110110001000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010000100000100101101011001011111111100000000000000001110110110110001000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011000100000100101101011001011111111100000000000000001110010110101001000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011000100000100101101011001011111111100000000000000001110110110101001000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010100100000100101101011001011111111100000000000000001110010110111001000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010100100000100101101011001011111111100000000000000001110110110111001000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011100100000100101101011001011111111100000000000000001110010110100101000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011100100000100101101011001011111111100000000000000001110110110100101000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010010100000100101101011001011111111100000000000000001110010110110101000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010010100000100101101011001011111111100000000000000001110110110110101000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011010100000100101101011001011111111100000000000000001110010110101101000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011010100000100101101011001011111111100000000000000001110110110101101000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010110100000100101101011001011111111100000000000000001110010110111101000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010110100000100101101011001011111111100000000000000001110110110111101000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011110100000100101101011001011111111100000000000000001110010110100011000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011110100000100101101011001011111111100000000000000001110110110100011000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010001100000100101101011001011111111100000000000000001110010110110011000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010001100000100101101011001011111111100000000000000001110110110110011000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011001100000100101101011001011111111100000000000000001110010110101011000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011001100000100101101011001011111111100000000000000001110110110101011000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010101100000100101101011001011111111100000000000000001110010110111011000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010101100000100101101011001011111111100000000000000001110110110111011000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011101100000100101101011001011111111100000000000000001110010110100111000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011101100000100101101011001011111111100000000000000001110110110100111000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010011100000100101101011001011111111100000000000000001110010110110111000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010011100000100101101011001011111111100000000000000001110110110110111000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011011100000100101101011001011111111100000000000000001110010110101111000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011011100000100101101011001011111111100000000000000001110110110101111000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010111100000100101101011001011111111100000000000000001110010110111111000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010111100000100101101011001011111111100000000000000001110110110111111000001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011111100000100101101011001011111111100000000000000001110010110100000100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011111100000100101101011001011111111100000000000000001110110110100000100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010000010000100101101011001011111111100000000000000001110010110110000100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010000010000100101101011001011111111100000000000000001110110110110000100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011000010000100101101011001011111111100000000000000001110010110101000100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011000010000100101101011001011111111100000000000000001110110110101000100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010100010000100101101011001011111111100000000000000001110010110111000100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010100010000100101101011001011111111100000000000000001110110110111000100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011100010000100101101011001011111111100000000000000001110010110100100100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011100010000100101101011001011111111100000000000000001110110110100100100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010010010000100101101011001011111111100000000000000001110010110110100100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010010010000100101101011001011111111100000000000000001110110110110100100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011010010000100101101011001011111111100000000000000001110010110101100100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011010010000100101101011001011111111100000000000000001110110110101100100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010110010000100101101011001011111111100000000000000001110010110111100100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010110010000100101101011001011111111100000000000000001110110110111100100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011110010000100101101011001011111111100000000000000001110010110100010100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011110010000100101101011001011111111100000000000000001110110110100010100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010001010000100101101011001011111111100000000000000001110010110110010100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010001010000100101101011001011111111100000000000000001110110110110010100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011001010000100101101011001011111111100000000000000001110010110101010100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011001010000100101101011001011111111100000000000000001110110110101010100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010101010000100101101011001011111111100000000000000001110010110111010100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010101010000100101101011001011111111100000000000000001110110110111010100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011101010000100101101011001011111111100000000000000001110010110100110100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011101010000100101101011001011111111100000000000000001110110110100110100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010011010000100101101011001011111111100000000000000001110010110110110100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010011010000100101101011001011111111100000000000000001110110110110110100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011011010000100101101011001011111111100000000000000001110010110101110100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011011010000100101101011001011111111100000000000000001110110110101110100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010111010000100101101011001011111111100000000000000001110010110111110100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010111010000100101101011001011111111100000000000000001110110110111110100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011111010000100101101011001011111111100000000000000001110010110100001100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011111010000100101101011001011111111100000000000000001110110110100001100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010000110000100101101011001011111111100000000000000001110010110110001100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010000110000100101101011001011111111100000000000000001110110110110001100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011000110000100101101011001011111111100000000000000001110010110101001100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011000110000100101101011001011111111100000000000000001110110110101001100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011010100110000100101101011001011111111100000000000000001110010110111001100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010100110000100101101011001011111111100000000000000001110110110111001100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011100110000100101101011001011111111100000000000000001110010110100101100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011011100110000100101101011001011111111100000000000000001110110110100101100000000110110000011000000000010111111110101101010001101000111101010010110101100110110000011000000000010101011010010110000100101101011001011111111100000000000000001110010110110101100001010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010111011010010110000100101101011001011111111100000000000000001110100110110101101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010101011011010110000100101101011001011111111100000000000000001110000110101101101101010110110000011000000000010111111110100101010001101000111101010010110101100100110000011000000000010101011011010110000100101101011001111111111100000000000000001110000110101101101101010110110000011000000000010111111110100101010001101000111101010010110101100100110000011000000000010101011011010110000100101101011001111111111100110011000001001110000110101101101100010110110000011000000000010111111110100101010001101000111101010010110101100100110000011000000000010101011011010110000100101101011001111111111100000000000000001110000110101101101101010110110000011000000000010111111110110101010001101000111101010010110101100100110000011000000000010101011011010110000100101101011001111111111100000000000000001110000110101101101101010110110000011000000000010111111110110101010001101000111101010010110101100100110000011000000000010101011011010110000100101101011001111111111100000000000000001110000110101101101101010110110000011000000000010111111110110101010001101000111101010010110101100100110000011000000000010101011011010110000100101101011001111111111100000000000000000110000110101101101101010110110000011000000000010111111110100101010001101000111101010010110101100100110000011000000000010101011011010110000100101101011001111111111100000000000000001110000110101101101101010110110000011000000000010111111110100101010001101000111101010010110101100100110000011000000000010101011011010110000100101101011001111111111100000000000000001110000110101101101101010110110000011000000000010111111110100101010001101000111101010010110101100100110000011000000000010101011011010110000100101101011001111111111100000000000000001110000110101101101101010110110000011000000000010111111110100101010001101000111101010010110101100100110000011000000000010101011011010110000100101101011001111111111100000000000000001110100110110101101101010110110000011000000000010111111110100101010001101000111101010010110101100100110000011000000000010101011011010110000100101101011001111111111100000000000000001110000110101101101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011010110110100101101011001011111111100000000000000001110100110101101101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010110110110100101101011001011111111100000000000000001110000110111101101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010110110110100101101011001011111111100000000000000001110100110111101101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011110110110100101101011001011111111100000000000000001110000110100011101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011110110110100101101011001011111111100000000000000001110100110100011101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010001110110100101101011001011111111100000000000000001110000110110011101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010001110110100101101011001011111111100000000000000001110100110110011101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011001110110100101101011001011111111100000000000000001110000110101011101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011001110110100101101011001011111111100000000000000001110100110101011101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010101110110100101101011001011111111100000000000000001110000110111011101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010101110110100101101011001011111111100000000000000001110100110111011101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011101110110100101101011001011111111100000000000000001110000110100111101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011101110110100101101011001011111111100000000000000001110100110100111101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010011110110100101101011001011111111100000000000000001110000110110111101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010011110110100101101011001011111111100000000000000001110100110110111101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011011110110100101101011001011111111100000000000000001110000110101111101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011011110110100101101011001011111111100000000000000001110100110101111101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010111110110100101101011001011111111100000000000000001110000110111111101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010111110110100101101011001011111111100000000000000001110100110111111101101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011111110110100101101011001011111111100000000000000001110000110100000011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011111110110100101101011001011111111100000000000000001110100110100000011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010000001110100101101011001011111111100000000000000001110000110110000011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010000001110100101101011001011111111100000000000000001110100110110000011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011000001110100101101011001011111111100000000000000001110000110101000011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011000001110100101101011001011111111100000000000000001110100110101000011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010100001110100101101011001011111111100000000000000001110000110111000011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010100001110100101101011001011111111100000000000000001110100110111000011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011100001110100101101011001011111111100000000000000001110000110100100011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011100001110100101101011001011111111100000000000000001110100110100100011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010010001110100101101011001011111111100000000000000001110000110110100011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010010001110100101101011001011111111100000000000000001110100110110100011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011010001110100101101011001011111111100000000000000001110000110101100011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011010001110100101101011001011111111100000000000000001110100110101100011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010110001110100101101011001011111111100000000000000001110000110111100011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010110001110100101101011001011111111100000000000000001110100110111100011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011110001110100101101011001011111111100000000000000001110000110100010011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011110001110100101101011001011111111100000000000000001110100110100010011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010001001110100101101011001011111111100000000000000001110000110110010011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010001001110100101101011001011111111100000000000000001110100110110010011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011001001110100101101011001011111111100000000000000001110000110101010011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011001001110100101101011001011111111100000000000000001110100110101010011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010101001110100101101011001011111111100000000000000001110000110111010011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010101001110100101101011001011111111100000000000000001110100110111010011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011101001110100101101011001011111111100000000000000001110000110100110011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011101001110100101101011001011111111100000000000000001110100110100110011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010011001110100101101011001011111111100000000000000001110000110110110011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010011001110100101101011001011111111100000000000000001110100110110110011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011011001110100101101011001011111111100000000000000001110000110101110011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011011001110100101101011001011111111100000000000000001110100110101110011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010111001110100101101011001011111111100000000000000001110000110111110011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010111001110100101101011001011111111100000000000000001110100110111110011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011111001110100101101011001011111111100000000000000001110000110100001011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011111001110100101101011001011111111100000000000000001110100110100001011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010000101110100101101011001011111111100000000000000001110000110110001011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010000101110100101101011001011111111100000000000000001110100110110001011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011000101110100101101011001011111111100000000000000001110000110101001011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011000101110100101101011001011111111100000000000000001110100110101001011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010100101110100101101011001011111111100000000000000001110000110111001011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010100101110100101101011001011111111100000000000000001110100110111001011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011100101110100101101011001011111111100000000000000001110000110100101011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011100101110100101101011001011111111100000000000000001110100110100101011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010010101110100101101011001011111111100000000000000001110000110110101011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010010101110100101101011001011111111100000000000000001110100110110101011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011010101110100101101011001011111111100000000000000001110000110101101011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011010101110100101101011001011111111100000000000000001110100110101101011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010110101110100101101011001011111111100000000000000001110000110111101011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010110101110100101101011001011111111100000000000000001110100110111101011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011110101110100101101011001011111111100000000000000001110000110100011011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011110101110100101101011001011111111100000000000000001110100110100011011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010001101110100101101011001011111111100000000000000001110000110110011011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010001101110100101101011001011111111100000000000000001110100110110011011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011001101110100101101011001011111111100000000000000001110000110101011011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011001101110100101101011001011111111100000000000000001110100110101011011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010101101110100101101011001011111111100000000000000001110000110111011011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010101101110100101101011001011111111100000000000000001110100110111011011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011101101110100101101011001011111111100000000000000001110000110100111011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011101101110100101101011001011111111100000000000000001110100110100111011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010011101110100101101011001011111111100000000000000001110000110110111011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010011101110100101101011001011111111100000000000000001110100110110111011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011011101110100101101011001011111111100000000000000001110000110101111011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011011101110100101101011001011111111100000000000000001110100110101111011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010111101110100101101011001011111111100000000000000001110000110111111011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010111101110100101101011001011111111100000000000000001110100110111111011101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011111101110100101101011001011111111100000000000000001110000110100000111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011111101110100101101011001011111111100000000000000001110100110100000111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010000011110100101101011001011111111100000000000000001110000110110000111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010000011110100101101011001011111111100000000000000001110100110110000111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011000011110100101101011001011111111100000000000000001110000110101000111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011000011110100101101011001011111111100000000000000001110100110101000111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010100011110100101101011001011111111100000000000000001110000110111000111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010100011110100101101011001011111111100000000000000001110100110111000111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011100011110100101101011001011111111100000000000000001110000110100100111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011011100011110100101101011001011111111100000000000000001110100110100100111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011010010011110100101101011001011111111100000000000000001110000110110100111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010110011010010011110100101101011001011111111100000000000000001110100110110100111101010110110000011000000000010111111110100101010001101000111101010010110101100110110000011000000000010100011011010011110100101101011001011111111100</data>
1105+ <extradata>11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111T1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111</extradata>
1106+ </log>
11031107 </trigger>
11041108 </signal_set>
11051109 </instance>
--- a/tools/qt_proj_test5/sdram-test_auto_stripped.stp
+++ b/tools/qt_proj_test5/sdram-test_auto_stripped.stp
@@ -1,6 +1,6 @@
11 <session jtag_chain="USB-Blaster [USB-0]" jtag_device="@1: EP2C(15|20) (0x020B30DD)" sof_file="">
22 <display_tree gui_logging_enabled="0">
3- <display_branch instance="auto_signaltap_0" signal_set="USE_GLOBAL_TEMP" trigger="USE_GLOBAL_TEMP"/>
3+ <display_branch instance="auto_signaltap_0" log="USE_GLOBAL_TEMP" signal_set="USE_GLOBAL_TEMP" trigger="USE_GLOBAL_TEMP"/>
44 </display_tree>
55 <instance entity_name="sld_signaltap" is_auto_node="yes" is_expanded="true" name="auto_signaltap_0" source_file="sld_signaltap.vhd">
66 <node_ip_info instance_id="0" mfg_id="110" node_id="0" version="6"/>
@@ -442,7 +442,7 @@
442442 <node data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="green_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="14" type="output pin"/>
443443 <node data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="16" type="input pin"/>
444444 <node data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|clk_i" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="72" tap_mode="classic" type="combinatorial"/>
445- <node data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="red_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="15" type="output pin"/>
445+ <node data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="rising edge" name="red_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="15" type="output pin"/>
446446 <node data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_we_i" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="59" type="combinatorial"/>
447447 <node name="sdram_rw:sdr_rw|cur_st_o" order="msb_to_lsb" type="combinatorial">
448448 <node data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cur_st_o[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="79" tap_mode="classic" trigger_index="54" type="combinatorial"/>
@@ -573,28 +573,28 @@
573573 <node data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" trigger_index="18" type="bidir pin"/>
574574 </node>
575575 <node name="sdram_controller:sdr_ctrl|address_r" order="msb_to_lsb" state="collapse" type="register">
576- <node data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="30" type="register"/>
577- <node data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="29" type="register"/>
578- <node data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="27" type="register"/>
579- <node data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="26" type="register"/>
580- <node data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="25" type="register"/>
581- <node data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="24" type="register"/>
582- <node data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="23" type="register"/>
583- <node data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="22" type="register"/>
584- <node data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="21" type="register"/>
585- <node data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="20" type="register"/>
586- <node data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="19" type="register"/>
587- <node data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="18" type="register"/>
588- <node data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="38" type="register"/>
589- <node data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="37" type="register"/>
590- <node data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="36" type="register"/>
591- <node data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="35" type="register"/>
592- <node data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="34" type="register"/>
593- <node data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="33" type="register"/>
594- <node data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="32" type="register"/>
595- <node data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="31" type="register"/>
596- <node data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="28" type="register"/>
597- <node data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="17" type="register"/>
576+ <node data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="30" type="register"/>
577+ <node data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="29" type="register"/>
578+ <node data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="27" type="register"/>
579+ <node data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="26" type="register"/>
580+ <node data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="25" type="register"/>
581+ <node data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="24" type="register"/>
582+ <node data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="23" type="register"/>
583+ <node data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="22" type="register"/>
584+ <node data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="21" type="register"/>
585+ <node data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="20" type="register"/>
586+ <node data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="19" type="register"/>
587+ <node data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="18" type="register"/>
588+ <node data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="38" type="register"/>
589+ <node data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="37" type="register"/>
590+ <node data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="36" type="register"/>
591+ <node data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="35" type="register"/>
592+ <node data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="34" type="register"/>
593+ <node data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="33" type="register"/>
594+ <node data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="32" type="register"/>
595+ <node data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="31" type="register"/>
596+ <node data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="28" type="register"/>
597+ <node data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="17" type="register"/>
598598 </node>
599599 <node name="sdram_rw:sdr_rw|wbm_tga_o" order="msb_to_lsb" type="combinatorial">
600600 <node data_index="177" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="177" tap_mode="classic" type="combinatorial"/>
@@ -612,7 +612,7 @@
612612 <node data_index="112" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_ack_i" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="112" tap_mode="classic" trigger_index="55" type="combinatorial"/>
613613 <node data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cmd_ack" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="73" tap_mode="classic" trigger_index="48" type="combinatorial"/>
614614 <node data_index="74" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cmd_done" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="74" tap_mode="classic" trigger_index="49" type="combinatorial"/>
615- <node data_index="179" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|writing" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="179" tap_mode="classic" trigger_index="59" type="combinatorial"/>
615+ <node data_index="179" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|writing" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="179" tap_mode="classic" trigger_index="60" type="combinatorial"/>
616616 <node name="sdram_rw:sdr_rw|sdram_val_o" order="msb_to_lsb" type="combinatorial">
617617 <node data_index="102" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[15]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="102" tap_mode="classic" type="combinatorial"/>
618618 <node data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[14]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="101" tap_mode="classic" type="combinatorial"/>
@@ -648,7 +648,7 @@
648648 <net data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="green_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="14" type="output pin"/>
649649 <net data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="16" type="input pin"/>
650650 <net data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|clk_i" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="72" tap_mode="classic" type="combinatorial"/>
651- <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="red_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="15" type="output pin"/>
651+ <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="rising edge" name="red_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="15" type="output pin"/>
652652 <net data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_we_i" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="59" type="combinatorial"/>
653653 <bus name="sdram_rw:sdr_rw|cur_st_o" order="msb_to_lsb" type="combinatorial">
654654 <net data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cur_st_o[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="79" tap_mode="classic" trigger_index="54" type="combinatorial"/>
@@ -779,28 +779,28 @@
779779 <net data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" trigger_index="18" type="bidir pin"/>
780780 </bus>
781781 <bus name="sdram_controller:sdr_ctrl|address_r" order="msb_to_lsb" state="collapse" type="register">
782- <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="30" type="register"/>
783- <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="29" type="register"/>
784- <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="27" type="register"/>
785- <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="26" type="register"/>
786- <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="25" type="register"/>
787- <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="24" type="register"/>
788- <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="23" type="register"/>
789- <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="22" type="register"/>
790- <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="21" type="register"/>
791- <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="20" type="register"/>
792- <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="19" type="register"/>
793- <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="18" type="register"/>
794- <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="38" type="register"/>
795- <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="37" type="register"/>
796- <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="36" type="register"/>
797- <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="35" type="register"/>
798- <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="34" type="register"/>
799- <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="33" type="register"/>
800- <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="32" type="register"/>
801- <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="31" type="register"/>
802- <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="28" type="register"/>
803- <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="17" type="register"/>
782+ <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="30" type="register"/>
783+ <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="29" type="register"/>
784+ <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="27" type="register"/>
785+ <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="26" type="register"/>
786+ <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="25" type="register"/>
787+ <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="24" type="register"/>
788+ <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="23" type="register"/>
789+ <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="22" type="register"/>
790+ <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="21" type="register"/>
791+ <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="20" type="register"/>
792+ <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="19" type="register"/>
793+ <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="18" type="register"/>
794+ <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="38" type="register"/>
795+ <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="37" type="register"/>
796+ <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="36" type="register"/>
797+ <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="35" type="register"/>
798+ <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="34" type="register"/>
799+ <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="33" type="register"/>
800+ <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="32" type="register"/>
801+ <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="31" type="register"/>
802+ <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="28" type="register"/>
803+ <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="17" type="register"/>
804804 </bus>
805805 <bus name="sdram_rw:sdr_rw|wbm_tga_o" order="msb_to_lsb" type="combinatorial">
806806 <net data_index="177" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="177" tap_mode="classic" type="combinatorial"/>
@@ -854,7 +854,7 @@
854854 <net data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="green_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="14" type="output pin"/>
855855 <net data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="16" type="input pin"/>
856856 <net data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|clk_i" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="72" tap_mode="classic" type="combinatorial"/>
857- <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="red_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="15" type="output pin"/>
857+ <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="rising edge" name="red_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="15" type="output pin"/>
858858 <net data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_we_i" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="59" type="combinatorial"/>
859859 <bus name="sdram_rw:sdr_rw|cur_st_o" order="msb_to_lsb" type="combinatorial">
860860 <net data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cur_st_o[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="79" tap_mode="classic" trigger_index="54" type="combinatorial"/>
@@ -985,28 +985,28 @@
985985 <net data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" trigger_index="18" type="bidir pin"/>
986986 </bus>
987987 <bus name="sdram_controller:sdr_ctrl|address_r" order="msb_to_lsb" state="collapse" type="register">
988- <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="30" type="register"/>
989- <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="29" type="register"/>
990- <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="27" type="register"/>
991- <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="26" type="register"/>
992- <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="25" type="register"/>
993- <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="24" type="register"/>
994- <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="23" type="register"/>
995- <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="22" type="register"/>
996- <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="21" type="register"/>
997- <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="20" type="register"/>
998- <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="19" type="register"/>
999- <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="18" type="register"/>
1000- <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="38" type="register"/>
1001- <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="37" type="register"/>
1002- <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="36" type="register"/>
1003- <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="35" type="register"/>
1004- <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="34" type="register"/>
1005- <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="33" type="register"/>
1006- <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="32" type="register"/>
1007- <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="31" type="register"/>
1008- <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="28" type="register"/>
1009- <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="17" type="register"/>
988+ <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="30" type="register"/>
989+ <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="29" type="register"/>
990+ <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="27" type="register"/>
991+ <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="26" type="register"/>
992+ <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="25" type="register"/>
993+ <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="24" type="register"/>
994+ <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="23" type="register"/>
995+ <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="22" type="register"/>
996+ <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="21" type="register"/>
997+ <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="20" type="register"/>
998+ <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="19" type="register"/>
999+ <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="18" type="register"/>
1000+ <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="38" type="register"/>
1001+ <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="37" type="register"/>
1002+ <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="36" type="register"/>
1003+ <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="35" type="register"/>
1004+ <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="34" type="register"/>
1005+ <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="33" type="register"/>
1006+ <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="32" type="register"/>
1007+ <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="31" type="register"/>
1008+ <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="28" type="register"/>
1009+ <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="17" type="register"/>
10101010 </bus>
10111011 <bus name="sdram_rw:sdr_rw|wbm_tga_o" order="msb_to_lsb" type="combinatorial">
10121012 <net data_index="177" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="177" tap_mode="classic" type="combinatorial"/>
@@ -1059,12 +1059,13 @@
10591059 <trigger_in_editor/>
10601060 <trigger_out_editor/>
10611061 </presentation>
1062- <trigger CRC="2EAF35CB" attribute_mem_mode="false" gap_record="true" global_temp="1" is_expanded="true" name="trigger: 2014/07/08 11:17:52 #1" position="pre" power_up_trigger_mode="false" record_data_gap="true" segment_size="1024" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="false" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_type="circular">
1062+ <trigger CRC="2EAF35CB" attribute_mem_mode="false" gap_record="true" global_temp="1" is_expanded="true" name="trigger: 2014/07/08 11:29:07 #0" position="pre" power_up_trigger_mode="false" record_data_gap="true" segment_size="1024" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="true" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_type="circular">
10631063 <power_up_trigger position="pre" storage_qualifier_disabled="no"/>
10641064 <events use_custom_flow_control="no">
1065- <level enabled="yes" name="condition1" type="basic">'red_led' == low &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[0]' == high &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[10]' == low &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[11]' == low &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[12]' == high &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[13]' == high &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[14]' == high &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[15]' == high &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[16]' == low &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[17]' == low &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[18]' == low &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[19]' == low &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[1]' == low &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[20]' == low &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[21]' == low &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[2]' == low &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[3]' == high &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[4]' == high &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[5]' == low &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[6]' == low &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[7]' == high &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[8]' == low &amp;&amp; 'sdram_controller:sdr_ctrl|address_r[9]' == low
1065+ <level enabled="yes" name="condition1" type="basic">'red_led' == rising edge
10661066 <power_up enabled="yes">
1067- </power_up><op_node/>
1067+ </power_up>
1068+ <op_node/>
10681069 </level>
10691070 </events>
10701071 <storage_qualifier_events>
@@ -1087,18 +1088,22 @@
10871088 <op_node/>
10881089 </storage_qualifier_level>
10891090 </storage_qualifier_events>
1091+ <log>
1092+ <data global_temp="1" name="log: 2014/07/08 11:29:07 #1" power_up_mode="false" sample_depth="1024" trigger_position="128">0000000000000011101001101011111001010100101100000110000000000000010111001001000100011010111110010100101101011001101100000110000000000001000110101111100101001011010110010111111111000000000000000011100001101111111001010100101100000110000000000001100111001001000110011010111110010100101101011001101100000110000000000001100110101111100101001011010110010111111111000000000000000011101001101111111001010100101100000110000000000000100111001001000100011011111110010100101101011001101100000110000000000001000110111111100101001011010110010111111111000000000000000011100001101000000101010100101100000110000000000001000111001001000110011011111110010100101101011001101100000110000000000001100110111111100101001011010110010111111111000000000000000011101001101000000101010100101100000110000000000000000111001001000100011010000001010100101101011001101100000110000000000001000110100000010101001011010110010111111111000000000000000011100001101100000101010100101100000110000000000001111011001001000110011010000001010100101101011001101100000110000000000001100110100000010101001011010110010111111111000000000000000011101001101100000101010100101100000110000000000000111011001001000100011011000001010100101101011001101100000110000000000001000110110000010101001011010110010111111111000000000000000011100001101010000101010100101100000110000000000001011011001001000110011011000001010100101101011001101100000110000000000001100110110000010101001011010110010111111111000000000000000011101001101010000101010100101100000110000000000000011011001001000100011010100001010100101101011001101100000110000000000001000110101000010101001011010110010111111111000000000000000011100001101110000101010100101100000110000000000001101011001001000110011010100001010100101101011001101100000110000000000001100110101000010101001011010110010111111111000000000000000011101001101110000101010100101100000110000000000000101011001001000100011011100001010100101101011001101100000110000000000001000110111000010101001011010110010111111111000000000000000011100001101001000101010100101100000110000000000001001011001001000110011011100001010100101101011001101100000110000000000001100110111000010101001011010110010111111111000000000000000011101001101001000101010100101100000110000000000000001011001001000100011010010001010100101101011001101100000110000000000001000110100100010101001011010110010111111111000000000000000011100001101101000101010100101100000110000000000001110011001001000110011010010001010100101101011001101100000110000000000001100110100100010101001011010110010111111111000000000000000011101001101101000101010100101100000110000000000000110011001001000100011011010001010100101101011001101100000110000000000001000110110100010101001011010110010111111111000000000000000011100001101011000101010100101100000110000000000001010011001001000110011011010001010100101101011001101100000110000000000001100110110100010101001011010110010111111111000000000000000011101001101011000101010100101100000110000000000000010011001001000100011010110001010100101101011001101100000110000000000001000110101100010101001011010110010111111111000000000000000011100001101111000101010100101100000110000000000001100011001001000110011010110001010100101101011001101100000110000000000001100110101100010101001011010110010111111111000000000000000011101001101111000101010100101100000110000000000000100011001001000100011011110001010100101101011001101100000110000000000001000110111100010101001011010110010111111111000000000000000011100001101000100101010100101100000110000000000001000011001001000110011011110001010100101101011001101100000110000000000001100110111100010101001011010110010111111111000000000000000011101001101000100101010100101100000110000000000000000011001001000100011010001001010100101101011001101100000110000000000001000110100010010101001011010110010111111111000000000000000011100001101100100101010100101100000110000000000001111101001001000110011010001001010100101101011001101100000110000000000001100110100010010101001011010110010111111111000000000000000011101001101100100101010100101100000110000000000000111101001001000100011011001001010100101101011001101100000110000000000001000110110010010101001011010110010111111111000000000000000011100001101010100101010100101100000110000000000001011101001001000110011011001001010100101101011001101100000110000000000001100110110010010101001011010110010111111111000000000000000011101001101010100101010100101100000110000000000000011101001001000100011010101001010100101101011001101100000110000000000001000110101010010101001011010110010111111111000000000000000011100001101110100101010100101100000110000000000001101101001001000110011010101001010100101101011001101100000110000000000001100110101010010101001011010110010111111111000000000000000011101001101110100101010100101100000110000000000000101101001001000100011011101001010100101101011001101100000110000000000001000110111010010101001011010110010111111111000000000000000011100001101001100101010100101100000110000000000001001101001001000110011011101001010100101101011001101100000110000000000001100110111010010101001011010110010111111111000000000000000011101001101001100101010100101100000110000000000000001101001001000100011010011001010100101101011001101100000110000000000001000110100110010101001011010110010111111111000000000000000011100001101101100101010100101100000110000000000001110101001001000110011010011001010100101101011001101100000110000000000001100110100110010101001011010110010111111111000000000000000011101001101101100101010100101100000110000000000000110101001001000100011011011001010100101101011001101100000110000000000001000110110110010101001011010110010111111111000000000000000011100001101011100101010100101100000110000000000001010101001001000110011011011001010100101101011001101100000110000000000001100110110110010101001011010110010111111111000000000000000011101001101011100101010100101100000110000000000000010101001001000100011010111001010100101101011001101100000110000000000001000110101110010101001011010110010111111111000000000000000011100001101111100101010100101100000110000000000001100101001001000110011010111001010100101101011001101100000110000000000001100110101110010101001011010110010111111111000000000000000011101001101111100101010100101100000110000000000000100101001001000100011011111001010100101101011001101100000110000000000001000110111110010101001011010110010111111111000000000000000011100001101000010101010100101100000110000000000001000101001001000110011011111001010100101101011001101100000110000000000001100110111110010101001011010110010111111111000000000000000011101001101000010101010100101100000110000000000000000101001001000100011010000101010100101101011001101100000110000000000001000110100001010101001011010110010111111111000000000000000011100001101100010101010100101100000110000000000001111001001001000110011010000101010100101101011001101100000110000000000001100110100001010101001011010110010111111111000000000000000011101001101100010101010100101100000110000000000000111001001001000100011011000101010100101101011001101100000110000000000001000110110001010101001011010110010111111111000000000000000011100001101010010101010100101100000110000000000001011001001001000110011011000101010100101101011001101100000110000000000001100110110001010101001011010110010111111111000000000000000011101001101010010101010100101100000110000000000000011001001001000100011010100101010100101101011001101100000110000000000001000110101001010101001011010110010111111111000000000000000011100001101110010101010100101100000110000000000001101001001001000110011010100101010100101101011001101100000110000000000001100110101001010101001011010110010111111111000000000000000011101001101110010101010100101100000110000000000000101001001001000100011011100101010100101101011001101100000110000000000001000110111001010101001011010110010111111111000000000000000011100001101001010101010100101100000110000000000001001001001001000110011011100101010100101101011001101100000110000000000001100110111001010101001011010110010111111111000000000000000011101001101001010101010100101100000110000000000000001001001001000100011010010101010100101101011001101100000110000000000001000110100101010101001011010110010111111111000000000000000011100001101101010101010100101100000110000000000001110001001001000110011010010101010100101101011001101100000110000000000001100110100101010101001011010110010111111111000000000000000011101001101101010101010100101100000110000000000000110001001001000100011011010101010100101101011001101100000110000000000001000110110101010101001011010110010111111111000000000000000011100001101011010101010100101100000110000000000001010001001001000110011011010101010100101101011001101100000110000000000001100110110101010101001011010110010111111111000000000000000011101001101011010101010100101100000110000000000000010001001001000100011010110101010100101101011001101100000110000000000001000110101101010101001011010110010111111111000000000000000011100001101111010101010100101100000110000000000001100001001001000110011010110101010100101101011001101100000110000000000001100110101101010101001011010110010111111111000000000000000011101001101111010101010100101100000110000000000000100001001001000100011011110101010100101101011001101100000110000000000001000110111101010101001011010110010111111111000000000000000011100001101000110101010100101100000110000000000001000001001001000110011011110101010100101101011001101100000110000000000001100110111101010101001011010110010111111111000000000000000011101001101000110101010100101100000110000000000000000001001001000100011010001101010100101101011001101100000110000000000001000110100011010101001011010110010111111111000000000000000011100001101100110101010100101100000110000000000001111110001001000110011010001101010100101101011001101100000110000000000001100110100011010101001011010110010111111111000000000000000011101001101100110101010100101100000110000000000000111110001001000100011011001101010100101101011001101100000110000000000001000110110011010101001011010110010111111111000000000000000011100001101010110101010100101100000110000000000001011110001001000110011011001101010100101101011001101100000110000000000001100110110011010101001011010110010111111111000000000000000011101001101010110101010100101100000110000000000000011110001001000100011010101101010100101101011001101100000110000000000001000110101011010101001011010110010111111111000000000000000011100001101110110101010100101100000110000000000001101110001001000110011010101101010100101101011001101100000110000000000001100110101011010101001011010110010111111111000000000000000011101001101110110101010100101100000110000000000000101110001001000100011011101101010100101101011001101100000110000000000001000110111011010101001011010110010111111111000000000000000011100001101001110101010100101100000110000000000001001110001001000110011011101101010100101101011001101100000110000000000001100110111011010101001011010110010111111111000000000000000011101001101001110101010100101100000110000000000000001110001001000100011010011101010100101101011001101100000110000000000001000110100111010101001011010110010111111111000000000000000011100001101101110101010100101100000110000000000001110110001001000110011010011101010100101101011001101100000110000000000001100110100111010101001011010110010111111111000000000000000011101001101101110101010100101100000110000000000000110110001001000100011011011101010100101101011001101100000110000000000001000110110111010101001011010110010111111111000000000000000011100001101011110101010100101100000110000000000001010110001001000110011011011101010100101101011001101100000110000000000001100110110111010101001011010110010111111111000000000000000011101001101011110101010100101100000110000000000000010110001001000100011010111101010100101101011001101100000110000000000001000110101111010101001011010110010111111111000000000000000011100001101111110101010100101100000110000000000001100110001001000110011010111101010100101101011001101100000110000000000001100110101111010101001011010110010111111111000000000000000011101001101111110101010100101100000110000000000000100110001001000100011011111101010100101101011001101100000110000000000001000110111111010101001011010110010111111111000000000000000011100001101000001101010100101100000110000000000001000110001001000110011011111101010100101101011001101100000110000000000001100110111111010101001011010110010111111111000000000000000011101001101000001101010100101100000110000000000000000110001001000100011010000011010100101101011001101100000110000000000001000110100000110101001011010110010111111111000000000000000011100001101100001101010100101100000110000000000001111010001001000110011010000011010100101101011001101100000110000000000001100110100000110101001011010110010111111111000000000000000011101001101100001101010100101100000110000000000000111010001001000100011011000011010100101101011001101100000110000000000001000110110000110101001011010110010111111111000000000000000011100001101010001101010100101100000110000000000001011010001001000110011011000011010100101101011001101100000110000000000001100110110000110101001011010110010111111111000000000000000011101001101010001101010100101100000110000000000000011010001001000100011010100011010100101101011001101100000110000000000001000110101000110101001011010110010111111111000000000000000011100001101110001101010100101100000110000000000001101010001001000110011010100011010100101101011001101100000110000000000001100110101000110101001011010110010111111111000000000000000011101001101110001101010100101100000110000000000000101010001001000100011011100011010100101101011001101100000110000000000001000110111000110101001011010110010111111111000000000000000011100001101001001101010100101100000110000000000001001010001001000110011011100011010100101101011001101100000110000000000001100110111000110101001011010110010111111111000000000000000011101001101001001101010100101100000110000000000000001010001001000100011010010011010100101101011001101100000110000000000001000110100100110101001011010110010111111111000000000000000011100001101101001101010100101100000110000000000001110010001001000110011010010011010100101101011001101100000110000000000001100110100100110101001011010110010111111111000000000000000011101001101101001101010100101100000110000000000000110010001001000100011011010011010100101101011001101100000110000000000001000110110100110101001011010110010111111111000000000000000011100001101011001101010100101100000110000000000001010010001001000110011011010011010100101101011001101100000110000000000001100110110100110101001011010110010111111111000000000000000011101001101011001101010100101100000110000000000000010010001001000100011010110011010100101101011001101100000110000000000001000110101100110101001011010110010111111111000000000000000011100001101111001101010100101100000110000000000001100010001001000110011010110011010100101101011001101100000110000000000001100110101100110101001011010110010111111111000000000000000011101001101111001101010100101100000110000000000000100010001001000100011011110011010100101101011001101100000110000000000001000110111100110101001011010110010111111111000000000000000011100001101000101101010100101100000110000000000001000010001001000110011011110011010100101101011001101100000110000000000001100110111100110101001011010110010111111111000000000000000011101001101000101101010100101100000110000000000000000010001001000100011010001011010100101101011001101100000110000000000001000110100010110101001011010110010111111111000000000000000011100001101100101101010100101100000110000000000001111100001001000110011010001011010100101101011001101100000110000000000001100110100010110101001011010110010111111111000000000000000011101001101100101101010100101100000110000000000000111100001001000100011011001011010100101101011001101100000110000000000001000110110010110101001011010110010111111111000000000000000011100001101010101101010100101100000110000000000001011100001001000110011011001011010100101101011001101100000110000000000001100110110010110101001011010110010111111111000000000000000011101001101010101101010100101100000110000000000000011100001001000100011010101011010100101101011001101100000110000000000001000110101010110101001011010110010111111111000000000000000011100001101110101101010100101100000110000000000001101100001001000110011010101011010100101101011001101100000110000000000001100110101010110101001011010110010111111111000000000000000011101001101110101101010100101100000110000000000000101100001001000100011011101011010100101101011001101100000110000000000001000110111010110101001011010110010111111111000000000000000011100001101001101101010100101100000110000000000001001100001001000110011011101011010100101101011001101100000110000000000001100110111010110101001011010110010111111111000000000000000011101001101001101101010100101100000110000000000000001100001001000100011010011011010100101101011001101100000110000000000001000110100110110101001011010110010111111111000000000000000011100001101101101101010100101100000110000000000001110100001001000110011010011011010100101101011001101100000110000000000001100110100110110101001011010110010111111111000000000000000011101001101101101101010100101100000110000000000000110100001001000100011011011011010100101101011001101100000110000000000001000110110110110101001011010110010111111111000000000000000011100001101011101101010100101100000110000000000001010100001001000110011011011011010100101101011001101100000110000000000001100110110110110101001011010110010111111111000000000000000011101001101011101101010100101100000110000000000000010100001001000100011010111011010100101101011001101100000110000000000001000110101110110101001011010110010111111111000000000000000011100001101111101101010100101100000110000000000001100100001001000110011010111011010100101101011001101100000110000000000001100110101110110101001011010110010111111111000000000000000011101001101111101101010100101100000110000000000000100100001001000100011011111011010100101101011001101100000110000000000001000110111110110101001011010110010111111111000000000000000011100001101000011101010100101100000110000000000001000100001001000110011011111011010100101101011001101100000110000000000001100110111110110101001011010110010111111111000000000000000011101001101000011101010100101100000110000000000000000100001001000100011010000111010100101101011001101100000110000000000001000110100001110101001011010110010111111111000000000000000011100001101100011101010100101100000110000000000001111000001001000110011010000111010100101101011001101100000110000000000001100110100001110101001011010110010111111111000000000000000011101001101100011101010100101100000110000000000000111000001001000100011011000111010100101101011001101100000110000000000001000110110001110101001011010110010111111111000000000000000011100001101010011101010100101100000110000000000001011000001001000110011011000111010100101101011001101100000110000000000001100110110001110101001011010110010111111111000000000000000011101001101010011101010100101100000110000000000000011000001001000100011010100111010100101101011001101100000110000000000001000110101001110101001011010110010111111111000000000000000011100001101110011101010100101100000110000000000001101000001001000110011010100111010100101101011001101100000110000000000001100110101001110101001011010110010111111111000000000000000011101001101110011101010100101100000110000000000000101000001001000100011011100111010100101101011001101100000110000000000001000110111001110101001011010110010111111111000000000000000011100001101001011101010100101100000110000000000001001000001001000110011011100111010100101101011001101100000110000000000001100110111001110101001011010110010111111111000000000000000011101001101001011101010100101100000110000000000000001000001001000100011010010111010100101101011001101100000110000000000001000110100101110101001011010110010111111111000000000000000011100001101101011101010100101100000110000000000001110000001001000110011010010111010100101101011001101100000110000000000001100110100101110101001011010110010111111111000000000000000011101001101101011101010100101100000110000000000000110000001001000100011011010111010100101101011001101100000110000000000001000110110101110101001011010110010111111111000000000000000011100001101011011101010100101100000110000000000001010000001001000110011011010111010100101101011001101100000110000000000001100110110101110101001011010110010111111111000000000000000011101001101011011101010100101100000110000000000000010000001001000100011010110111010100101101011001101100000110000000000001000110101101110101001011010110010111111111000000000000000011100001101111011101000000101100000110000000000001100000001011000110011010110111010100101101011001101100000110000000000001100110101101110101001011010110010111111111000000000000000011101001101111011101010100101100000110000000000000100000001001000100011011110111010100101101011001101100000110000000000001000110111101110101001011010110010111111111000000000000000011100001101000111110010100101100000110000000000001000000001001000110011011110111010100101101011001101100000110000000000101100110111101110101001011010110010111111111000000000000000011101001101000111110010100101100000110000000000000000000011001000100011010001111010100101101011001001100000110000000000101100110111101110101001011010110011111111111000000000000000011101001101000111110010100101100000110000000000100000000011001000100011010001111010100101101011001001100000110000000000101100110111101110101001011010110011111111111001100110000010011101001101000111110000100101100000110000000000100000000011001000100011010001111010100101101011001001100000110000000000101100110111101110101001011010110011111111111000000000000000011101001101000111110010100101100000110000000000100000000011101000100011010001111010100101101011001001100000110000000000101100110111101110101001011010110011111111111000000000000000011101001101000111110010100101100000110000000000100000000011101000100011010001111010100101101011001001100000110000000000101100110111101110101001011010110011111111111000000000000000011101001101000111110010100101100000110000000000100000000011101000100011010001111010100101101011001001100000110000000000101100110111101110101001011010110011111111111000000000000000001101001101000111110010100101100000110000000000100000000011001000100011010001111010100101101011001001100000110000000000101100110111101110101001011010110011111111111000000000000000011101001101000111110010100101100000110000000000100000000011001000100011010001111010100101101011001001100000110000000000101100110111101110101001011010110011111111111000000000000000011101001101000111110010100101100000110000000000100000000011001000100011010001111010100101101011001001100000110000000000101100110111101110101001011010110011111111111000000000000000011101001101000111110010100101100000110000000000100000000011001000100011010001111010100101101011001001100000110000000000101100110111101110101001011010110011111111111000000000000000011101001101101011011010100101100000110000000000100000000011001000100011010001111010100101101011001001100000110000000000101100110111101110101001011010110011111111111000000000000000011100001101011011011010100101100000110000000000100000000011001000100011010001111010100101101011001101100000110000000000101100110110101101101001011010110010111111111000000000000000011101001101011011011010101101100000110000000000101111111101001000100011010001111010100101101011001101100000110000000000101000110101101101101001011010110010111111111000000000000000011100001101111011011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101101101101001011010110010111111111000000000000000011101001101111011011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111101101101001011010110010111111111000000000000000011100001101000111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111101101101001011010110010111111111000000000000000011101001101000111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100011101101001011010110010111111111000000000000000011100001101100111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100011101101001011010110010111111111000000000000000011101001101100111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110011101101001011010110010111111111000000000000000011100001101010111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110011101101001011010110010111111111000000000000000011101001101010111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101011101101001011010110010111111111000000000000000011100001101110111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101011101101001011010110010111111111000000000000000011101001101110111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111011101101001011010110010111111111000000000000000011100001101001111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111011101101001011010110010111111111000000000000000011101001101001111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100111101101001011010110010111111111000000000000000011100001101101111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100111101101001011010110010111111111000000000000000011101001101101111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110111101101001011010110010111111111000000000000000011100001101011111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110111101101001011010110010111111111000000000000000011101001101011111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101111101101001011010110010111111111000000000000000011100001101111111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101111101101001011010110010111111111000000000000000011101001101111111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111111101101001011010110010111111111000000000000000011100001101000000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111111101101001011010110010111111111000000000000000011101001101000000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100000011101001011010110010111111111000000000000000011100001101100000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100000011101001011010110010111111111000000000000000011101001101100000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110000011101001011010110010111111111000000000000000011100001101010000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110000011101001011010110010111111111000000000000000011101001101010000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101000011101001011010110010111111111000000000000000011100001101110000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101000011101001011010110010111111111000000000000000011101001101110000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111000011101001011010110010111111111000000000000000011100001101001000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111000011101001011010110010111111111000000000000000011101001101001000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100100011101001011010110010111111111000000000000000011100001101101000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100100011101001011010110010111111111000000000000000011101001101101000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110100011101001011010110010111111111000000000000000011100001101011000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110100011101001011010110010111111111000000000000000011101001101011000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101100011101001011010110010111111111000000000000000011100001101111000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101100011101001011010110010111111111000000000000000011101001101111000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111100011101001011010110010111111111000000000000000011100001101000100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111100011101001011010110010111111111000000000000000011101001101000100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100010011101001011010110010111111111000000000000000011100001101100100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100010011101001011010110010111111111000000000000000011101001101100100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110010011101001011010110010111111111000000000000000011100001101010100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110010011101001011010110010111111111000000000000000011101001101010100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101010011101001011010110010111111111000000000000000011100001101110100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101010011101001011010110010111111111000000000000000011101001101110100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111010011101001011010110010111111111000000000000000011100001101001100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111010011101001011010110010111111111000000000000000011101001101001100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100110011101001011010110010111111111000000000000000011100001101101100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100110011101001011010110010111111111000000000000000011101001101101100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110110011101001011010110010111111111000000000000000011100001101011100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110110011101001011010110010111111111000000000000000011101001101011100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101110011101001011010110010111111111000000000000000011100001101111100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101110011101001011010110010111111111000000000000000011101001101111100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111110011101001011010110010111111111000000000000000011100001101000010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111110011101001011010110010111111111000000000000000011101001101000010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100001011101001011010110010111111111000000000000000011100001101100010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100001011101001011010110010111111111000000000000000011101001101100010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110001011101001011010110010111111111000000000000000011100001101010010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110001011101001011010110010111111111000000000000000011101001101010010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101001011101001011010110010111111111000000000000000011100001101110010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101001011101001011010110010111111111000000000000000011101001101110010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111001011101001011010110010111111111000000000000000011100001101001010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111001011101001011010110010111111111000000000000000011101001101001010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100101011101001011010110010111111111000000000000000011100001101101010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100101011101001011010110010111111111000000000000000011101001101101010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110101011101001011010110010111111111000000000000000011100001101011010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110101011101001011010110010111111111000000000000000011101001101011010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101101011101001011010110010111111111000000000000000011100001101111010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101101011101001011010110010111111111000000000000000011101001101111010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111101011101001011010110010111111111000000000000000011100001101000110111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111101011101001011010110010111111111000000000000000011101001101000110111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100011011101001011010110010111111111000000000000000011100001101100110111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100011011101001011010110010111111111000000000000000011101001101100110111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110011011101001011010110010111111111000000000000000011100001101010110111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110011011101001011010110010111111111000000000000000011101001101010110111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101011011101001011010110010111111111000000000000000011100001101110110111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101011011101001011010110010111111111000000000000000011101001101110110111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111011011101001011010110010111111111000000000000000011100001101001110111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111011011101001011010110010111111111000000000000000011101001101001110111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100111011101001011010110010111111111000000000000000011100001101101110111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100111011101001011010110010111111111000000000000000011101001101101110111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110111011101001011010110010111111111000000000000000011100001101011110111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110111011101001011010110010111111111000000000000000011101001101011110111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101111011101001011010110010111111111000000000000000011100001101111110111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101111011101001011010110010111111111000000000000000011101001101111110111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111111011101001011010110010111111111000000000000000011100001101000001111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111111011101001011010110010111111111000000000000000011101001101000001111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100000111101001011010110010111111111000000000000000011100001101100001111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100000111101001011010110010111111111000000000000000011101001101100001111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110000111101001011010110010111111111000000000000000011100001101010001111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110000111101001011010110010111111111000000000000000011101001101010001111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101000111101001011010110010111111111000000000000000011100001101110001111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101000111101001011010110010111111111000000000000000011101001101110001111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111000111101001011010110010111111111000000000000000011100001101001001111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111000111101001011010110010111111111000000000000000011101001101001001111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100100111101001011010110010111111111000000000000000011100001101101001111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100100111101001011010110010111111111000000000000000011101001101101001111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110100111101001011010110010111111111000000000000000011100001101011001111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110100111101001011010110010111111111000000000000000011101001101011001111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101100111101001011010110010111111111000000000000000011100001101111001111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101100111101001011010110010111111111000000000000000011101001101111001111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111100111101001011010110010111111111000000000000000011100001101000101111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111100111101001011010110010111111111000000000000000011101001101000101111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100010111101001011010110010111111111000000000000000011100001101100101111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100010111101001011010110010111111111000000000000000011101001101100101111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110010111101001011010110010111111111000000000000000011100001101010101111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110010111101001011010110010111111111000000000000000011101001101010101111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101010111101001011010110010111111111000000000000000011100001101110101111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101010111101001011010110010111111111000000000000000011101001101110101111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111010111101001011010110010111111111000000000000000011100001101001101111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111010111101001011010110010111111111000000000000000011101001101001101111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100110111101001011010110010111111111000000000000000011100001101101101111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100110111101001011010110010111111111000000000000000011101001101101101111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110110111101001011010110010111111111000000000000000011100001101011101111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110110111101001011010110010111111111000000000000000011101001101011101111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101110111101001011010110010111111111000000000000000011100001101111101111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101110111101001011010110010111111111000000000000000011101001101111101111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111110111101001011010110010111111111000000000000000011100001101000011111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111110111101001011010110010111111111000000000000000011101001101000011111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100001111101001011010110010111111111000000000000000011100001101100011111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100001111101001011010110010111111111000000000000000011101001101100011111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110001111101001011010110010111111111000000000000000011100001101010011111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110001111101001011010110010111111111000000000000000011101001101010011111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101001111101001011010110010111111111000000000000000011100001101110011111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101001111101001011010110010111111111000000000000000011101001101110011111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111001111101001011010110010111111111000000000000000011100001101001011111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111001111101001011010110010111111111000000000000000011101001101001011111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100101111101001011010110010111111111000000000000000011100001101101011111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100101111101001011010110010111111111000000000000000011101001101101011111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110101111101001011010110010111111111000000000000000011100001101011011111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110101111101001011010110010111111111000000000000000011101001101011011111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101101111101001011010110010111111111000000000000000011100001101111011111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101101111101001011010110010111111111000000000000000011101001101111011111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111101111101001011010110010111111111000000000000000011100001101000111111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111101111101001011010110010111111111000000000000000011101001101000111111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100011111101001011010110010111111111000000000000000011100001101100111111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100011111101001011010110010111111111000000000000000011101001101100111111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110011111101001011010110010111111111000000000000000011100001101010111111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110011111101001011010110010111111111000000000000000011101001101010111111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101011111101001011010110010111111111000000000000000011100001101110111111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101011111101001011010110010111111111000000000000000011101001101110111111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111011111101001011010110010111111111000000000000000011100001101001111111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111011111101001011010110010111111111000000000000000011101001101001111111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100111111101001011010110010111111111000000000000000011100001101101111111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100111111101001011010110010111111111000000000000000011101001101101111111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110111111101001011010110010111111111000000000000000011100001101011111111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110111111101001011010110010111111111000000000000000011101001101011111111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101111111101001011010110010111111111000000000000000011100001101111111111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101111111101001011010110010111111111000000000000000011101001101111111111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111111111101001011010110010111111111000000000000000011100101101000000000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111111111101001011010110010111111111000000000000000011101101101000000000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110100000000001001011010110010111111111000000000000000011100101101100000000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110100000000001001011010110010111111111000000000000000011101101101100000000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110110000000001001011010110010111111111000000000000000011100101101010000000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110110000000001001011010110010111111111000000000000000011101101101010000000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110101000000001001011010110010111111111000000000000000011100101101110000000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110101000000001001011010110010111111111000000000000000011101101101110000000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110111000000001001011010110010111111111000000000000000011100101101001000000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110111000000001001011010110010111111111000000000000000011101101101001000000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110100100000001001011010110010111111111000000000000000011100101101101000000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110100100000001001011010110010111111111000000000000000011101101101101000000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110110100000001001011010110010111111111000000000000000011100101101011000000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110110100000001001011010110010111111111000000000000000011101101101011000000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110101100000001001011010110010111111111000000000000000011100101101111000000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110101100000001001011010110010111111111000000000000000011101101101111000000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110111100000001001011010110010111111111000000000000000011100101101000100000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110111100000001001011010110010111111111000000000000000011101101101000100000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110100010000001001011010110010111111111000000000000000011100101101100100000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110100010000001001011010110010111111111000000000000000011101101101100100000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110110010000001001011010110010111111111000000000000000011100101101010100000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110110010000001001011010110010111111111000000000000000011101101101010100000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110101010000001001011010110010111111111000000000000000011100101101110100000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110101010000001001011010110010111111111000000000000000011101101101110100000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110111010000001001011010110010111111111000000000000000011100101101001100000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110111010000001001011010110010111111111000000000000000011101101101001100000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110100110000001001011010110010111111111000000000000000011100101101101100000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110100110000001001011010110010111111111000000000000000011101101101101100000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110110110000001001011010110010111111111000000000000000011100101101011100000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110110110000001001011010110010111111111000000000000000011101101101011100000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110101110000001001011010110010111111111000000000000000011100101101111100000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110101110000001001011010110010111111111000000000000000011101101101111100000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110111110000001001011010110010111111111000000000000000011100101101000010000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110111110000001001011010110010111111111000000000000000011101101101000010000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110100001000001001011010110010111111111000000000000000011100101101100010000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110100001000001001011010110010111111111000000000000000011101101101100010000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110110001000001001011010110010111111111000000000000000011100101101010010000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110110001000001001011010110010111111111000000000000000011101101101010010000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110101001000001001011010110010111111111000000000000000011100101101110010000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110101001000001001011010110010111111111000000000000000011101101101110010000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110111001000001001011010110010111111111000000000000000011100101101001010000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110111001000001001011010110010111111111000000000000000011101101101001010000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110100101000001001011010110010111111111000000000000000011100101101101010000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110100101000001001011010110010111111111000000000000000011101101101101010000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110110101000001001011010110010111111111000000000000000011100101101011010000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110110101000001001011010110010111111111000000000000000011101101101011010000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110101101000001001011010110010111111111000000000000000011100101101111010000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110101101000001001011010110010111111111000000000000000011101101101111010000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110111101000001001011010110010111111111000000000000000011100101101000110000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110111101000001001011010110010111111111000000000000000011101101101000110000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110100011000001001011010110010111111111000000000000000011100101101100110000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110100011000001001011010110010111111111000000000000000011101101101100110000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110110011000001001011010110010111111111000000000000000011100101101010110000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110110011000001001011010110010111111111000000000000000011101101101010110000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110101011000001001011010110010111111111000000000000000011100101101110110000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110101011000001001011010110010111111111000000000000000011101101101110110000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110111011000001001011010110010111111111000000000000000011100101101001110000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110111011000001001011010110010111111111000000000000000011101101101001110000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110100111000001001011010110010111111111000000000000000011100101101101110000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110100111000001001011010110010111111111000000000000000011101101101101110000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110110111000001001011010110010111111111000000000000000011100101101011110000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110110111000001001011010110010111111111000000000000000011101101101011110000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110101111000001001011010110010111111111000000000000000011100101101111110000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110101111000001001011010110010111111111000000000000000011101101101111110000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110111111000001001011010110010111111111000000000000000011100101101000001000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110111111000001001011010110010111111111000000000000000011101101101000001000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110100000100001001011010110010111111111000000000000000011100101101100001000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110100000100001001011010110010111111111000000000000000011101101101100001000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110110000100001001011010110010111111111000000000000000011100101101010001000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110110000100001001011010110010111111111000000000000000011101101101010001000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110101000100001001011010110010111111111000000000000000011100101101110001000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110101000100001001011010110010111111111000000000000000011101101101110001000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110111000100001001011010110010111111111000000000000000011100101101001001000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110111000100001001011010110010111111111000000000000000011101101101001001000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110100100100001001011010110010111111111000000000000000011100101101101001000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110100100100001001011010110010111111111000000000000000011101101101101001000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110110100100001001011010110010111111111000000000000000011100101101011001000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110110100100001001011010110010111111111000000000000000011101101101011001000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110101100100001001011010110010111111111000000000000000011100101101111001000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110101100100001001011010110010111111111000000000000000011101101101111001000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110111100100001001011010110010111111111000000000000000011100101101000101000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110111100100001001011010110010111111111000000000000000011101101101000101000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110100010100001001011010110010111111111000000000000000011100101101100101000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110100010100001001011010110010111111111000000000000000011101101101100101000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110110010100001001011010110010111111111000000000000000011100101101010101000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110110010100001001011010110010111111111000000000000000011101101101010101000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110101010100001001011010110010111111111000000000000000011100101101110101000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110101010100001001011010110010111111111000000000000000011101101101110101000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110111010100001001011010110010111111111000000000000000011100101101001101000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110111010100001001011010110010111111111000000000000000011101101101001101000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110100110100001001011010110010111111111000000000000000011100101101101101000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110100110100001001011010110010111111111000000000000000011101101101101101000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110110110100001001011010110010111111111000000000000000011100101101011101000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110110110100001001011010110010111111111000000000000000011101101101011101000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110101110100001001011010110010111111111000000000000000011100101101111101000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110101110100001001011010110010111111111000000000000000011101101101111101000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110111110100001001011010110010111111111000000000000000011100101101000011000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110111110100001001011010110010111111111000000000000000011101101101000011000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110100001100001001011010110010111111111000000000000000011100101101100011000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110100001100001001011010110010111111111000000000000000011101101101100011000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110110001100001001011010110010111111111000000000000000011100101101010011000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110110001100001001011010110010111111111000000000000000011101101101010011000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110101001100001001011010110010111111111000000000000000011100101101110011000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110101001100001001011010110010111111111000000000000000011101101101110011000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110111001100001001011010110010111111111000000000000000011100101101001011000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110111001100001001011010110010111111111000000000000000011101101101001011000000001101100000110000000000101111111101011010100011010001111010100101101011001101100000110000000000101010110100101100001001011010110010111111111000000000000000011100101101101011000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110100101100001001011010110010111111111000000000000000011101001101101011011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110110101100001001011010110010111111111000000000000000011100001101011011011010101101100000110000000000101111111101001010100011010001111010100101101011001001100000110000000000101010110110101100001001011010110011111111111000000000000000011100001101011011011010101101100000110000000000101111111101001010100011010001111010100101101011001001100000110000000000101010110110101100001001011010110011111111111001100110000010011100001101011011011000101101100000110000000000101111111101001010100011010001111010100101101011001001100000110000000000101010110110101100001001011010110011111111111000000000000000011100001101011011011010101101100000110000000000101111111101101010100011010001111010100101101011001001100000110000000000101010110110101100001001011010110011111111111000000000000000011100001101011011011010101101100000110000000000101111111101101010100011010001111010100101101011001001100000110000000000101010110110101100001001011010110011111111111000000000000000011100001101011011011010101101100000110000000000101111111101101010100011010001111010100101101011001001100000110000000000101010110110101100001001011010110011111111111000000000000000001100001101011011011010101101100000110000000000101111111101001010100011010001111010100101101011001001100000110000000000101010110110101100001001011010110011111111111000000000000000011100001101011011011010101101100000110000000000101111111101001010100011010001111010100101101011001001100000110000000000101010110110101100001001011010110011111111111000000000000000011100001101011011011010101101100000110000000000101111111101001010100011010001111010100101101011001001100000110000000000101010110110101100001001011010110011111111111000000000000000011100001101011011011010101101100000110000000000101111111101001010100011010001111010100101101011001001100000110000000000101010110110101100001001011010110011111111111000000000000000011101001101101011011010101101100000110000000000101111111101001010100011010001111010100101101011001001100000110000000000101010110110101100001001011010110011111111111000000000000000011100001101011011011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110101101101001011010110010111111111000000000000000011101001101011011011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101101101101001011010110010111111111000000000000000011100001101111011011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101101101101001011010110010111111111000000000000000011101001101111011011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111101101101001011010110010111111111000000000000000011100001101000111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111101101101001011010110010111111111000000000000000011101001101000111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100011101101001011010110010111111111000000000000000011100001101100111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100011101101001011010110010111111111000000000000000011101001101100111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110011101101001011010110010111111111000000000000000011100001101010111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110011101101001011010110010111111111000000000000000011101001101010111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101011101101001011010110010111111111000000000000000011100001101110111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101011101101001011010110010111111111000000000000000011101001101110111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111011101101001011010110010111111111000000000000000011100001101001111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111011101101001011010110010111111111000000000000000011101001101001111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100111101101001011010110010111111111000000000000000011100001101101111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100111101101001011010110010111111111000000000000000011101001101101111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110111101101001011010110010111111111000000000000000011100001101011111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110111101101001011010110010111111111000000000000000011101001101011111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101111101101001011010110010111111111000000000000000011100001101111111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101111101101001011010110010111111111000000000000000011101001101111111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111111101101001011010110010111111111000000000000000011100001101000000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111111101101001011010110010111111111000000000000000011101001101000000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100000011101001011010110010111111111000000000000000011100001101100000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100000011101001011010110010111111111000000000000000011101001101100000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110000011101001011010110010111111111000000000000000011100001101010000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110000011101001011010110010111111111000000000000000011101001101010000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101000011101001011010110010111111111000000000000000011100001101110000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101000011101001011010110010111111111000000000000000011101001101110000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111000011101001011010110010111111111000000000000000011100001101001000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111000011101001011010110010111111111000000000000000011101001101001000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100100011101001011010110010111111111000000000000000011100001101101000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100100011101001011010110010111111111000000000000000011101001101101000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110100011101001011010110010111111111000000000000000011100001101011000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110100011101001011010110010111111111000000000000000011101001101011000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101100011101001011010110010111111111000000000000000011100001101111000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101100011101001011010110010111111111000000000000000011101001101111000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111100011101001011010110010111111111000000000000000011100001101000100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111100011101001011010110010111111111000000000000000011101001101000100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100010011101001011010110010111111111000000000000000011100001101100100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100010011101001011010110010111111111000000000000000011101001101100100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110010011101001011010110010111111111000000000000000011100001101010100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110010011101001011010110010111111111000000000000000011101001101010100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101010011101001011010110010111111111000000000000000011100001101110100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101010011101001011010110010111111111000000000000000011101001101110100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111010011101001011010110010111111111000000000000000011100001101001100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111010011101001011010110010111111111000000000000000011101001101001100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100110011101001011010110010111111111000000000000000011100001101101100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100110011101001011010110010111111111000000000000000011101001101101100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110110011101001011010110010111111111000000000000000011100001101011100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110110011101001011010110010111111111000000000000000011101001101011100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101110011101001011010110010111111111000000000000000011100001101111100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101110011101001011010110010111111111000000000000000011101001101111100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111110011101001011010110010111111111000000000000000011100001101000010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111110011101001011010110010111111111000000000000000011101001101000010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100001011101001011010110010111111111000000000000000011100001101100010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100001011101001011010110010111111111000000000000000011101001101100010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110001011101001011010110010111111111000000000000000011100001101010010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110001011101001011010110010111111111000000000000000011101001101010010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101001011101001011010110010111111111000000000000000011100001101110010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101001011101001011010110010111111111000000000000000011101001101110010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111001011101001011010110010111111111000000000000000011100001101001010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111001011101001011010110010111111111000000000000000011101001101001010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100101011101001011010110010111111111000000000000000011100001101101010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100101011101001011010110010111111111000000000000000011101001101101010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110101011101001011010110010111111111000000000000000011100001101011010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110101011101001011010110010111111111000000000000000011101001101011010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101101011101001011010110010111111111000000000000000011100001101111010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101101011101001011010110010111111111000000000000000011101001101111010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111101011101001011010110010111111111000000000000000011100001101000110111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111101011101001011010110010111111111000000000000000011101001101000110111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100011011101001011010110010111111111000000000000000011100001101100110111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100011011101001011010110010111111111000000000000000011101001101100110111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110011011101001011010110010111111111000000000000000011100001101010110111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110011011101001011010110010111111111000000000000000011101001101010110111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101011011101001011010110010111111111000000000000000011100001101110110111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101011011101001011010110010111111111000000000000000011101001101110110111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111011011101001011010110010111111111000000000000000011100001101001110111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111011011101001011010110010111111111000000000000000011101001101001110111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100111011101001011010110010111111111000000000000000011100001101101110111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100111011101001011010110010111111111000000000000000011101001101101110111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110111011101001011010110010111111111000000000000000011100001101011110111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110111011101001011010110010111111111000000000000000011101001101011110111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101111011101001011010110010111111111000000000000000011100001101111110111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101111011101001011010110010111111111000000000000000011101001101111110111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111111011101001011010110010111111111000000000000000011100001101000001111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111111011101001011010110010111111111000000000000000011101001101000001111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100000111101001011010110010111111111000000000000000011100001101100001111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100000111101001011010110010111111111000000000000000011101001101100001111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110000111101001011010110010111111111000000000000000011100001101010001111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110000111101001011010110010111111111000000000000000011101001101010001111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101000111101001011010110010111111111000000000000000011100001101110001111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101000111101001011010110010111111111000000000000000011101001101110001111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111000111101001011010110010111111111000000000000000011100001101001001111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111000111101001011010110010111111111000000000000000011101001101001001111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100100111101001011010110010111111111000000000000000011100001101101001111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100100111101001011010110010111111111000000000000000011101001101101001111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110100111101001011010110010111111111000000000000000011100001101011001111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110100111101001011010110010111111111000000000000000011101001101011001111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101100111101001011010110010111111111000000000000000011100001101111001111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101100111101001011010110010111111111000000000000000011101001101111001111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111100111101001011010110010111111111000000000000000011100001101000101111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111100111101001011010110010111111111000000000000000011101001101000101111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100010111101001011010110010111111111000000000000000011100001101100101111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100010111101001011010110010111111111000000000000000011101001101100101111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110010111101001011010110010111111111000000000000000011100001101010101111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110010111101001011010110010111111111000000000000000011101001101010101111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101010111101001011010110010111111111000000000000000011100001101110101111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101010111101001011010110010111111111000000000000000011101001101110101111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111010111101001011010110010111111111000000000000000011100001101001101111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111010111101001011010110010111111111000000000000000011101001101001101111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100110111101001011010110010111111111000000000000000011100001101101101111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100110111101001011010110010111111111000000000000000011101001101101101111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110110111101001011010110010111111111000000000000000011100001101011101111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110110111101001011010110010111111111000000000000000011101001101011101111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101110111101001011010110010111111111000000000000000011100001101111101111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101110111101001011010110010111111111000000000000000011101001101111101111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111110111101001011010110010111111111000000000000000011100001101000011111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111110111101001011010110010111111111000000000000000011101001101000011111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100001111101001011010110010111111111000000000000000011100001101100011111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100001111101001011010110010111111111000000000000000011101001101100011111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110001111101001011010110010111111111000000000000000011100001101010011111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110001111101001011010110010111111111000000000000000011101001101010011111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101001111101001011010110010111111111000000000000000011100001101110011111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101001111101001011010110010111111111000000000000000011101001101110011111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111001111101001011010110010111111111000000000000000011100001101001011111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111001111101001011010110010111111111000000000000000011101001101001011111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100101111101001011010110010111111111000000000000000011100001101101011111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100101111101001011010110010111111111000000000000000011101001101101011111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110101111101001011010110010111111111000000000000000011100001101011011111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110101111101001011010110010111111111000000000000000011101001101011011111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101101111101001011010110010111111111000000000000000011100001101111011111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101101111101001011010110010111111111000000000000000011101001101111011111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111101111101001011010110010111111111000000000000000011100001101000111111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111101111101001011010110010111111111000000000000000011101001101000111111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100011111101001011010110010111111111000000000000000011100001101100111111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100011111101001011010110010111111111000000000000000011101001101100111111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110011111101001011010110010111111111000000000000000011100001101010111111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110011111101001011010110010111111111000000000000000011101001101010111111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101011111101001011010110010111111111000000000000000011100001101110111111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101011111101001011010110010111111111000000000000000011101001101110111111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111011111101001011010110010111111111000000000000000011100001101001111111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111011111101001011010110010111111111000000000000000011101001101001111111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100111111101001011010110010111111111000000000000000011100001101101111111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100111111101001011010110010111111111000000000000000011101001101101111111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110111111101001011010110010111111111000000000000000011100001101011111111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110111111101001011010110010111111111000000000000000011101001101011111111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101111111101001011010110010111111111000000000000000011100001101111111111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101111111101001011010110010111111111000000000000000011101001101111111111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111111111101001011010110010111111111000000000000000011100101101000000000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111111111101001011010110010111111111000000000000000011101101101000000000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110100000000001001011010110010111111111000000000000000011100101101100000000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110100000000001001011010110010111111111000000000000000011101101101100000000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110110000000001001011010110010111111111000000000000000011100101101010000000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110110000000001001011010110010111111111000000000000000011101101101010000000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110101000000001001011010110010111111111000000000000000011100101101110000000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110101000000001001011010110010111111111000000000000000011101101101110000000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110111000000001001011010110010111111111000000000000000011100101101001000000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110111000000001001011010110010111111111000000000000000011101101101001000000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110100100000001001011010110010111111111000000000000000011100101101101000000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110100100000001001011010110010111111111000000000000000011101101101101000000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110110100000001001011010110010111111111000000000000000011100101101011000000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110110100000001001011010110010111111111000000000000000011101101101011000000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110101100000001001011010110010111111111000000000000000011100101101111000000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110101100000001001011010110010111111111000000000000000011101101101111000000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110111100000001001011010110010111111111000000000000000011100101101000100000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110111100000001001011010110010111111111000000000000000011101101101000100000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110100010000001001011010110010111111111000000000000000011100101101100100000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110100010000001001011010110010111111111000000000000000011101101101100100000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110110010000001001011010110010111111111000000000000000011100101101010100000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110110010000001001011010110010111111111000000000000000011101101101010100000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110101010000001001011010110010111111111000000000000000011100101101110100000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110101010000001001011010110010111111111000000000000000011101101101110100000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110111010000001001011010110010111111111000000000000000011100101101001100000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110111010000001001011010110010111111111000000000000000011101101101001100000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110100110000001001011010110010111111111000000000000000011100101101101100000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110100110000001001011010110010111111111000000000000000011101101101101100000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110110110000001001011010110010111111111000000000000000011100101101011100000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110110110000001001011010110010111111111000000000000000011101101101011100000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110101110000001001011010110010111111111000000000000000011100101101111100000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110101110000001001011010110010111111111000000000000000011101101101111100000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110111110000001001011010110010111111111000000000000000011100101101000010000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110111110000001001011010110010111111111000000000000000011101101101000010000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110100001000001001011010110010111111111000000000000000011100101101100010000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110100001000001001011010110010111111111000000000000000011101101101100010000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110110001000001001011010110010111111111000000000000000011100101101010010000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110110001000001001011010110010111111111000000000000000011101101101010010000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110101001000001001011010110010111111111000000000000000011100101101110010000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110101001000001001011010110010111111111000000000000000011101101101110010000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110111001000001001011010110010111111111000000000000000011100101101001010000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110111001000001001011010110010111111111000000000000000011101101101001010000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110100101000001001011010110010111111111000000000000000011100101101101010000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110100101000001001011010110010111111111000000000000000011101101101101010000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110110101000001001011010110010111111111000000000000000011100101101011010000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110110101000001001011010110010111111111000000000000000011101101101011010000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110101101000001001011010110010111111111000000000000000011100101101111010000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110101101000001001011010110010111111111000000000000000011101101101111010000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110111101000001001011010110010111111111000000000000000011100101101000110000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110111101000001001011010110010111111111000000000000000011101101101000110000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110100011000001001011010110010111111111000000000000000011100101101100110000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110100011000001001011010110010111111111000000000000000011101101101100110000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110110011000001001011010110010111111111000000000000000011100101101010110000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110110011000001001011010110010111111111000000000000000011101101101010110000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110101011000001001011010110010111111111000000000000000011100101101110110000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110101011000001001011010110010111111111000000000000000011101101101110110000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110111011000001001011010110010111111111000000000000000011100101101001110000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110111011000001001011010110010111111111000000000000000011101101101001110000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110100111000001001011010110010111111111000000000000000011100101101101110000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110100111000001001011010110010111111111000000000000000011101101101101110000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110110111000001001011010110010111111111000000000000000011100101101011110000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110110111000001001011010110010111111111000000000000000011101101101011110000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110101111000001001011010110010111111111000000000000000011100101101111110000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110101111000001001011010110010111111111000000000000000011101101101111110000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110111111000001001011010110010111111111000000000000000011100101101000001000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110111111000001001011010110010111111111000000000000000011101101101000001000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110100000100001001011010110010111111111000000000000000011100101101100001000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110100000100001001011010110010111111111000000000000000011101101101100001000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110110000100001001011010110010111111111000000000000000011100101101010001000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110110000100001001011010110010111111111000000000000000011101101101010001000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110101000100001001011010110010111111111000000000000000011100101101110001000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110101000100001001011010110010111111111000000000000000011101101101110001000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110111000100001001011010110010111111111000000000000000011100101101001001000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110111000100001001011010110010111111111000000000000000011101101101001001000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110100100100001001011010110010111111111000000000000000011100101101101001000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110100100100001001011010110010111111111000000000000000011101101101101001000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110110100100001001011010110010111111111000000000000000011100101101011001000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110110100100001001011010110010111111111000000000000000011101101101011001000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110101100100001001011010110010111111111000000000000000011100101101111001000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110101100100001001011010110010111111111000000000000000011101101101111001000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110111100100001001011010110010111111111000000000000000011100101101000101000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110111100100001001011010110010111111111000000000000000011101101101000101000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110100010100001001011010110010111111111000000000000000011100101101100101000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110100010100001001011010110010111111111000000000000000011101101101100101000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110110010100001001011010110010111111111000000000000000011100101101010101000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110110010100001001011010110010111111111000000000000000011101101101010101000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110101010100001001011010110010111111111000000000000000011100101101110101000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110101010100001001011010110010111111111000000000000000011101101101110101000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110111010100001001011010110010111111111000000000000000011100101101001101000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110111010100001001011010110010111111111000000000000000011101101101001101000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110100110100001001011010110010111111111000000000000000011100101101101101000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110100110100001001011010110010111111111000000000000000011101101101101101000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110110110100001001011010110010111111111000000000000000011100101101011101000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110110110100001001011010110010111111111000000000000000011101101101011101000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110101110100001001011010110010111111111000000000000000011100101101111101000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110101110100001001011010110010111111111000000000000000011101101101111101000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110111110100001001011010110010111111111000000000000000011100101101000011000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110111110100001001011010110010111111111000000000000000011101101101000011000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110100001100001001011010110010111111111000000000000000011100101101100011000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110100001100001001011010110010111111111000000000000000011101101101100011000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110110001100001001011010110010111111111000000000000000011100101101010011000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110110001100001001011010110010111111111000000000000000011101101101010011000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110101001100001001011010110010111111111000000000000000011100101101110011000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110101001100001001011010110010111111111000000000000000011101101101110011000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110111001100001001011010110010111111111000000000000000011100101101001011000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110111001100001001011010110010111111111000000000000000011101101101001011000000001101100000110000000000101111111101011010100011010001111010100101101011001101100000110000000000101010110100101100001001011010110010111111111000000000000000011100101101101011000010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101110110100101100001001011010110010111111111000000000000000011101001101101011011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101010110110101100001001011010110010111111111000000000000000011100001101011011011010101101100000110000000000101111111101001010100011010001111010100101101011001001100000110000000000101010110110101100001001011010110011111111111000000000000000011100001101011011011010101101100000110000000000101111111101001010100011010001111010100101101011001001100000110000000000101010110110101100001001011010110011111111111001100110000010011100001101011011011000101101100000110000000000101111111101001010100011010001111010100101101011001001100000110000000000101010110110101100001001011010110011111111111000000000000000011100001101011011011010101101100000110000000000101111111101101010100011010001111010100101101011001001100000110000000000101010110110101100001001011010110011111111111000000000000000011100001101011011011010101101100000110000000000101111111101101010100011010001111010100101101011001001100000110000000000101010110110101100001001011010110011111111111000000000000000011100001101011011011010101101100000110000000000101111111101101010100011010001111010100101101011001001100000110000000000101010110110101100001001011010110011111111111000000000000000001100001101011011011010101101100000110000000000101111111101001010100011010001111010100101101011001001100000110000000000101010110110101100001001011010110011111111111000000000000000011100001101011011011010101101100000110000000000101111111101001010100011010001111010100101101011001001100000110000000000101010110110101100001001011010110011111111111000000000000000011100001101011011011010101101100000110000000000101111111101001010100011010001111010100101101011001001100000110000000000101010110110101100001001011010110011111111111000000000000000011100001101011011011010101101100000110000000000101111111101001010100011010001111010100101101011001001100000110000000000101010110110101100001001011010110011111111111000000000000000011101001101101011011010101101100000110000000000101111111101001010100011010001111010100101101011001001100000110000000000101010110110101100001001011010110011111111111000000000000000011100001101011011011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110101101101001011010110010111111111000000000000000011101001101011011011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101101101101001011010110010111111111000000000000000011100001101111011011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101101101101001011010110010111111111000000000000000011101001101111011011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111101101101001011010110010111111111000000000000000011100001101000111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111101101101001011010110010111111111000000000000000011101001101000111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100011101101001011010110010111111111000000000000000011100001101100111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100011101101001011010110010111111111000000000000000011101001101100111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110011101101001011010110010111111111000000000000000011100001101010111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110011101101001011010110010111111111000000000000000011101001101010111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101011101101001011010110010111111111000000000000000011100001101110111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101011101101001011010110010111111111000000000000000011101001101110111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111011101101001011010110010111111111000000000000000011100001101001111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111011101101001011010110010111111111000000000000000011101001101001111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100111101101001011010110010111111111000000000000000011100001101101111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100111101101001011010110010111111111000000000000000011101001101101111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110111101101001011010110010111111111000000000000000011100001101011111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110111101101001011010110010111111111000000000000000011101001101011111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101111101101001011010110010111111111000000000000000011100001101111111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101111101101001011010110010111111111000000000000000011101001101111111011010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111111101101001011010110010111111111000000000000000011100001101000000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111111101101001011010110010111111111000000000000000011101001101000000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100000011101001011010110010111111111000000000000000011100001101100000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100000011101001011010110010111111111000000000000000011101001101100000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110000011101001011010110010111111111000000000000000011100001101010000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110000011101001011010110010111111111000000000000000011101001101010000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101000011101001011010110010111111111000000000000000011100001101110000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101000011101001011010110010111111111000000000000000011101001101110000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111000011101001011010110010111111111000000000000000011100001101001000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111000011101001011010110010111111111000000000000000011101001101001000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100100011101001011010110010111111111000000000000000011100001101101000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100100011101001011010110010111111111000000000000000011101001101101000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110100011101001011010110010111111111000000000000000011100001101011000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110100011101001011010110010111111111000000000000000011101001101011000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101100011101001011010110010111111111000000000000000011100001101111000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101100011101001011010110010111111111000000000000000011101001101111000111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111100011101001011010110010111111111000000000000000011100001101000100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111100011101001011010110010111111111000000000000000011101001101000100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100010011101001011010110010111111111000000000000000011100001101100100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100010011101001011010110010111111111000000000000000011101001101100100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110010011101001011010110010111111111000000000000000011100001101010100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110010011101001011010110010111111111000000000000000011101001101010100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101010011101001011010110010111111111000000000000000011100001101110100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101010011101001011010110010111111111000000000000000011101001101110100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111010011101001011010110010111111111000000000000000011100001101001100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111010011101001011010110010111111111000000000000000011101001101001100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100110011101001011010110010111111111000000000000000011100001101101100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100110011101001011010110010111111111000000000000000011101001101101100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110110011101001011010110010111111111000000000000000011100001101011100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110110011101001011010110010111111111000000000000000011101001101011100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101110011101001011010110010111111111000000000000000011100001101111100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101110011101001011010110010111111111000000000000000011101001101111100111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111110011101001011010110010111111111000000000000000011100001101000010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111110011101001011010110010111111111000000000000000011101001101000010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100001011101001011010110010111111111000000000000000011100001101100010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100001011101001011010110010111111111000000000000000011101001101100010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110001011101001011010110010111111111000000000000000011100001101010010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110001011101001011010110010111111111000000000000000011101001101010010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101001011101001011010110010111111111000000000000000011100001101110010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101001011101001011010110010111111111000000000000000011101001101110010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111001011101001011010110010111111111000000000000000011100001101001010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111001011101001011010110010111111111000000000000000011101001101001010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100101011101001011010110010111111111000000000000000011100001101101010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100101011101001011010110010111111111000000000000000011101001101101010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110101011101001011010110010111111111000000000000000011100001101011010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110101011101001011010110010111111111000000000000000011101001101011010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101101011101001011010110010111111111000000000000000011100001101111010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101101011101001011010110010111111111000000000000000011101001101111010111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111101011101001011010110010111111111000000000000000011100001101000110111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111101011101001011010110010111111111000000000000000011101001101000110111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110100011011101001011010110010111111111000000000000000011100001101100110111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110100011011101001011010110010111111111000000000000000011101001101100110111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110110011011101001011010110010111111111000000000000000011100001101010110111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110110011011101001011010110010111111111000000000000000011101001101010110111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110101011011101001011010110010111111111000000000000000011100001101110110111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110101011011101001011010110010111111111000000000000000011101001101110110111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101000110111011011101001011010110010111111111000000000000000011100001101001110111010101101100000110000000000101111111101001010100011010001111010100101101011001101100000110000000000101100110111011011101001011010

Part of diff was cut off due to size limit. Use your local client to view the full diff.

Show on old repository browser