任务单 #26896

信号の並びを縦横変換するスクリプトを作成する

开放日期: 2011-12-06 23:26 最后更新: 2011-12-06 23:26

报告人:
属主:
状态:
开启 [Owner assigned]
组件:
(无)
里程碑:
(无)
优先:
5 - Medium
严重性:
5 - Medium
处理结果:
文件:

Details

ユーザーによるリクエストに由来するチケットである。

Verilog HDLの$displayで取得したような、各信号の変化が縦に並んでいるテキストファイルを、TimingChartViewerで読み込める横形式に変換したり、逆に変換したりするスクリプトを作成する。

任务单历史 (1/1 Histories)

2011-12-06 23:26 Updated by: molelord
  • New Ticket "信号の並びを縦横変換するスクリプトを作成する" created

Attachment File List

No attachments

编辑

You are not logged in. I you are not logged in, your comment will be treated as an anonymous post. » 登录名